OpenCores
URL https://opencores.org/ocsvn/vhld_tb/vhld_tb/trunk

Subversion Repositories vhld_tb

[/] [vhld_tb/] [trunk/] [examples/] [example1/] [vhdl/] [example_dut_ttb_ent.vhd] - Blame information for rev 17

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 sckoarn
 
2
library IEEE;
3
--library dut_lib;
4
use IEEE.STD_LOGIC_1164.all;
5
--use dut_lib.all;
6
 
7
entity example_dut_ttb is
8
  generic (
9
           stimulus_file: string := "stm/stimulus_file.stm"
10
          );
11
end example_dut_ttb;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.