OpenCores
URL https://opencores.org/ocsvn/vhld_tb/vhld_tb/trunk

Subversion Repositories vhld_tb

[/] [vhld_tb/] [trunk/] [examples/] [packet_gen/] [modelsim.ini] - Blame information for rev 19

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 19 sckoarn
; Copyright 1991-2011 Mentor Graphics Corporation
2
;
3
; All Rights Reserved.
4
;
5
; THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF
6
; MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS.
7
;
8
 
9
[Library]
10
ieee_proposed = work
11
tb_pkg = work
12
std = $MODEL_TECH/../std
13
ieee = $MODEL_TECH/../ieee
14
vital2000 = $MODEL_TECH/../vital2000
15
;
16
; VITAL concerns:
17
;
18
; The library ieee contains (among other packages) the packages of the
19
; VITAL 2000 standard.  When a design uses VITAL 2000 exclusively, it should use
20
; the physical library ieee (recommended), or use the physical library
21
; vital2000, but not both.  The design can use logical library ieee and/or
22
; vital2000 as long as each of these maps to the same physical library, either
23
; ieee or vital2000.
24
;
25
; A design using the 1995 version of the VITAL packages, whether or not
26
; it also uses the 2000 version of the VITAL packages, must have logical library
27
; name ieee mapped to physical library vital1995.  (A design cannot use library
28
; vital1995 directly because some packages in this library use logical name ieee
29
; when referring to the other packages in the library.)  The design source
30
; should use logical name ieee when referring to any packages there except the
31
; VITAL 2000 packages.  Any VITAL 2000 present in the design must use logical
32
; name vital2000 (mapped to physical library vital2000) to refer to those
33
; packages.
34
; ieee = $MODEL_TECH/../vital1995
35
;
36
; For compatiblity with previous releases, logical library name vital2000 maps
37
; to library vital2000 (a different library than library ieee, containing the
38
; same packages).
39
; A design should not reference VITAL from both the ieee library and the
40
; vital2000 library because the vital packages are effectively different.
41
; A design that references both the ieee and vital2000 libraries must have
42
; both logical names ieee and vital2000 mapped to the same library, either of
43
; these:
44
;   $MODEL_TECH/../ieee
45
;   $MODEL_TECH/../vital2000
46
;
47
verilog = $MODEL_TECH/../verilog
48
std_developerskit = $MODEL_TECH/../std_developerskit
49
synopsys = $MODEL_TECH/../synopsys
50
modelsim_lib = $MODEL_TECH/../modelsim_lib
51
sv_std = $MODEL_TECH/../sv_std
52
mtiAvm = $MODEL_TECH/../avm
53
mtiOvm = $MODEL_TECH/../ovm-2.1.1
54
mtiUvm = $MODEL_TECH/../uvm-1.0
55
mtiUPF = $MODEL_TECH/../upf_lib
56
mtiPA  = $MODEL_TECH/../pa_lib
57
floatfixlib = $MODEL_TECH/../floatfixlib
58
mc2_lib = $MODEL_TECH/../mc2_lib
59
;vhdl_psl_checkers = $MODEL_TECH/../vhdl_psl_checkers       // Source files only for this release
60
;verilog_psl_checkers = $MODEL_TECH/../verilog_psl_checkers // Source files only for this release
61
;mvc_lib = $MODEL_TECH/../mvc_lib
62
 
63
[vcom]
64
; VHDL93 variable selects language version as the default.
65
; Default is VHDL-2002.
66
; Value of 0 or 1987 for VHDL-1987.
67
; Value of 1 or 1993 for VHDL-1993.
68
; Default or value of 2 or 2002 for VHDL-2002.
69
; Value of 3 or 2008 for VHDL-2008
70
VHDL93 = 2002
71
 
72
; Ignore VHDL-2008 declaration of REAL_VECTOR in package STANDARD. Default is off.
73
; ignoreStandardRealVector = 1
74
 
75
; Show source line containing error. Default is off.
76
; Show_source = 1
77
 
78
; Turn off unbound-component warnings. Default is on.
79
; Show_Warning1 = 0
80
 
81
; Turn off process-without-a-wait-statement warnings. Default is on.
82
; Show_Warning2 = 0
83
 
84
; Turn off null-range warnings. Default is on.
85
; Show_Warning3 = 0
86
 
87
; Turn off no-space-in-time-literal warnings. Default is on.
88
; Show_Warning4 = 0
89
 
90
; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on.
91
; Show_Warning5 = 0
92
 
93
; Turn off optimization for IEEE std_logic_1164 package. Default is on.
94
; Optimize_1164 = 0
95
 
96
; Turn on resolving of ambiguous function overloading in favor of the
97
; "explicit" function declaration (not the one automatically created by
98
; the compiler for each type declaration). Default is off.
99
; The .ini file has Explicit enabled so that std_logic_signed/unsigned
100
; will match the behavior of synthesis tools.
101
Explicit = 1
102
 
103
; Turn off acceleration of the VITAL packages. Default is to accelerate.
104
; NoVital = 1
105
 
106
; Turn off VITAL compliance checking. Default is checking on.
107
; NoVitalCheck = 1
108
 
109
; Ignore VITAL compliance checking errors. Default is to not ignore.
110
; IgnoreVitalErrors = 1
111
 
112
; Turn off VITAL compliance checking warnings. Default is to show warnings.
113
; Show_VitalChecksWarnings = 0
114
 
115
; Turn off PSL assertion warning messages. Default is to show warnings.
116
; Show_PslChecksWarnings = 0
117
 
118
; Enable parsing of embedded PSL assertions. Default is enabled.
119
; EmbeddedPsl = 0
120
 
121
; Keep silent about case statement static warnings.
122
; Default is to give a warning.
123
; NoCaseStaticError = 1
124
 
125
; Keep silent about warnings caused by aggregates that are not locally static.
126
; Default is to give a warning.
127
; NoOthersStaticError = 1
128
 
129
; Treat as errors:
130
;   case statement static warnings
131
;   warnings caused by aggregates that are not locally static
132
; Overrides NoCaseStaticError, NoOthersStaticError settings.
133
; PedanticErrors = 1
134
 
135
; Turn off inclusion of debugging info within design units.
136
; Default is to include debugging info.
137
; NoDebug = 1
138
 
139
; Turn off "Loading..." messages. Default is messages on.
140
; Quiet = 1
141
 
142
; Turn on some limited synthesis rule compliance checking. Checks only:
143
;    -- signals used (read) by a process must be in the sensitivity list
144
; CheckSynthesis = 1
145
 
146
; Activate optimizations on expressions that do not involve signals,
147
; waits, or function/procedure/task invocations. Default is off.
148
; ScalarOpts = 1
149
 
150
; Turns on lint-style checking.
151
; Show_Lint = 1
152
 
153
; Require the user to specify a configuration for all bindings,
154
; and do not generate a compile time default binding for the
155
; component. This will result in an elaboration error of
156
; 'component not bound' if the user fails to do so. Avoids the rare
157
; issue of a false dependency upon the unused default binding.
158
; RequireConfigForAllDefaultBinding = 1
159
 
160
; Perform default binding at compile time.
161
; Default is to do default binding at load time.
162
; BindAtCompile = 1;
163
 
164
; Inhibit range checking on subscripts of arrays. Range checking on
165
; scalars defined with subtypes is inhibited by default.
166
; NoIndexCheck = 1
167
 
168
; Inhibit range checks on all (implicit and explicit) assignments to
169
; scalar objects defined with subtypes.
170
; NoRangeCheck = 1
171
 
172
; Run the 0-in compiler on the VHDL source files
173
; Default is off.
174
; ZeroIn = 1
175
 
176
; Set the options to be passed to the 0-in compiler.
177
; Default is "".
178
; ZeroInOptions = ""
179
 
180
; Set the synthesis prefix to be honored for synthesis pragma recognition.
181
; Default is "".
182
; SynthPrefix = ""
183
 
184
; Turn on code coverage in VHDL design units. Default is off.
185
; Coverage = sbceft
186
 
187
; Turn off code coverage in VHDL subprograms. Default is on.
188
; CoverageSub = 0
189
 
190
; Automatically exclude VHDL case statement OTHERS choice branches.
191
; This includes OTHERS choices in selected signal assigment statements.
192
; Default is to not exclude.
193
; CoverExcludeDefault = 1
194
 
195
; Control compiler and VOPT optimizations that are allowed when
196
; code coverage is on.  Refer to the comment for this in the [vlog] area.
197
; CoverOpt = 3
198
 
199
; Inform code coverage optimizations to respect VHDL 'H' and 'L'
200
; values on signals in conditions and expressions, and to not automatically
201
; convert them to '1' and '0'. Default is to not convert.
202
; CoverRespectHandL = 0
203
 
204
; Increase or decrease the maximum number of rows allowed in a UDP table
205
; implementing a VHDL condition coverage or expression coverage expression.
206
; More rows leads to a longer compile time, but more expressions covered.
207
; CoverMaxUDPRows = 192
208
 
209
; Increase or decrease the maximum number of input patterns that are present
210
; in FEC table. This leads to a longer compile time with more expressions
211
; covered with FEC metric.
212
; CoverMaxFECRows = 192
213
 
214
; Enable or disable Focused Expression Coverage analysis for conditions and
215
; expressions. Focused Expression Coverage data is provided by default when
216
; expression and/or condition coverage is active.
217
; CoverFEC = 0
218
 
219
; Enable or disable UDP Coverage analysis for conditions and expressions.
220
; UDP Coverage data is provided by default when expression and/or condition
221
; coverage is active.
222
; CoverUDP = 0
223
 
224
; Enable or disable short circuit evaluation of conditions and expressions when
225
; condition or expression coverage is active. Short circuit evaluation is enabled
226
; by default.
227
; CoverShortCircuit = 0
228
 
229
; Enable code coverage reporting of code that has been optimized away.
230
; The default is not to report.
231
; CoverReportCancelled = 1
232
 
233
; Use this directory for compiler temporary files instead of "work/_temp"
234
; CompilerTempDir = /tmp
235
 
236
; Set this to cause the compilers to force data to be committed to disk
237
; when the files are closed.
238
; SyncCompilerFiles = 1
239
 
240
; Add VHDL-AMS declarations to package STANDARD
241
; Default is not to add
242
; AmsStandard = 1
243
 
244
; Range and length checking will be performed on array indices and discrete
245
; ranges, and when violations are found within subprograms, errors will be
246
; reported. Default is to issue warnings for violations, because subprograms
247
; may not be invoked.
248
; NoDeferSubpgmCheck = 0
249
 
250
; Turn ON detection of FSMs having single bit current state variable.
251
; FsmSingle = 1
252
 
253
; Turn off reset state transitions in FSM.
254
; FsmResetTrans = 0
255
 
256
; Turn ON detection of FSM Implicit Transitions.
257
; FsmImplicitTrans = 1
258
 
259
; Controls whether or not to show immediate assertions with constant expressions
260
; in GUI/report/UCDB etc. By default, immediate assertions with constant
261
; expressions are shown in GUI/report/UCDB etc. This does not affect
262
; evaluation of immediate assertions.
263
; ShowConstantImmediateAsserts = 0
264
 
265
; Controls how VHDL basic identifiers are stored with the design unit.
266
; Does not make the language case-sensitive, effects only how declarations
267
; declared with basic identifiers have their names stored and printed
268
; (examine, etc.).
269
; Default is to preserve the case as originally depicted in the VHDL source.
270
; Value of 0 indicates to change basic identifiers to lower case.
271
; PreserveCase = 0
272
 
273
; For Configuration Declarations, controls the effect that USE clauses have
274
; on visibility inside the configuration items being configured.  If 1
275
; (the default), then use pre-10.0 behavior. If 0, then for stricter LRM-compliance
276
; extend the visibility of objects made visible through USE clauses into nested
277
; component configurations.
278
; OldVHDLConfigurationVisibility = 0
279
 
280
[vlog]
281
; Turn off inclusion of debugging info within design units.
282
; Default is to include debugging info.
283
; NoDebug = 1
284
 
285
; Turn on `protect compiler directive processing.
286
; Default is to ignore `protect directives.
287
; Protect = 1
288
 
289
; Turn off "Loading..." messages. Default is messages on.
290
; Quiet = 1
291
 
292
; Turn on Verilog hazard checking (order-dependent accessing of global vars).
293
; Default is off.
294
; Hazard = 1
295
 
296
; Turn on converting regular Verilog identifiers to uppercase. Allows case
297
; insensitivity for module names. Default is no conversion.
298
; UpCase = 1
299
 
300
; Activate optimizations on expressions that do not involve signals,
301
; waits, or function/procedure/task invocations. Default is off.
302
; ScalarOpts = 1
303
 
304
; Turns on lint-style checking.
305
; Show_Lint = 1
306
 
307
; Show source line containing error. Default is off.
308
; Show_source = 1
309
 
310
; Turn on bad option warning. Default is off.
311
; Show_BadOptionWarning = 1
312
 
313
; Revert back to IEEE 1364-1995 syntax, default is 0 (off).
314
; vlog95compat = 1
315
 
316
; Turn off PSL warning messages. Default is to show warnings.
317
; Show_PslChecksWarnings = 0
318
 
319
; Enable parsing of embedded PSL assertions. Default is enabled.
320
; EmbeddedPsl = 0
321
 
322
; Set the threshold for automatically identifying sparse Verilog memories.
323
; A memory with depth equal to or more than the sparse memory threshold gets
324
; marked as sparse automatically, unless specified otherwise in source code
325
; or by +nosparse commandline option of vlog or vopt.
326
; The default is 1M.  (i.e. memories with depth equal
327
; to or greater than 1M are marked as sparse)
328
; SparseMemThreshold = 1048576
329
 
330
; Run the 0-in compiler on the Verilog source files
331
; Default is off.
332
; ZeroIn = 1
333
 
334
; Set the options to be passed to the 0-in compiler.
335
; Default is "".
336
; ZeroInOptions = ""
337
 
338
; Set the synthesis prefix to be honored for synthesis pragma recognition.
339
; Default is "".
340
; SynthPrefix = ""
341
 
342
; Set the option to treat all files specified in a vlog invocation as a
343
; single compilation unit. The default value is set to 0 which will treat
344
; each file as a separate compilation unit as specified in the P1800 draft standard.
345
; MultiFileCompilationUnit = 1
346
 
347
; Turn on code coverage in Verilog design units. Default is off.
348
; Coverage = sbceft
349
 
350
; Automatically exclude Verilog case statement default branches.
351
; Default is to not automatically exclude defaults.
352
; CoverExcludeDefault = 1
353
 
354
; Increase or decrease the maximum number of rows allowed in a UDP table
355
; implementing a Verilog condition coverage or expression coverage expression.
356
; More rows leads to a longer compile time, but more expressions covered.
357
; CoverMaxUDPRows = 192
358
 
359
; Increase or decrease the maximum number of input patterns that are present
360
; in FEC table. This leads to a longer compile time with more expressions
361
; covered with FEC metric.
362
; CoverMaxFECRows = 192
363
 
364
; Enable or disable Focused Expression Coverage analysis for conditions and
365
; expressions. Focused Expression Coverage data is provided by default when
366
; expression and/or condition coverage is active.
367
; CoverFEC = 0
368
 
369
; Enable or disable UDP Coverage analysis for conditions and expressions.
370
; UDP Coverage data is provided by default when expression and/or condition
371
; coverage is active.
372
; CoverUDP = 0
373
 
374
; Enable or disable short circuit evaluation of conditions and expressions when
375
; condition or expression coverage is active. Short circuit evaluation is enabled
376
; by default.
377
; CoverShortCircuit = 0
378
 
379
 
380
; Turn on code coverage in VLOG `celldefine modules and modules included
381
; using vlog -v and -y. Default is off.
382
; CoverCells = 1
383
 
384
; Enable code coverage reporting of code that has been optimized away.
385
; The default is not to report.
386
; CoverReportCancelled = 1
387
 
388
; Control compiler and VOPT optimizations that are allowed when
389
; code coverage is on. This is a number from 1 to 4, with the following
390
; meanings (the default is 3):
391
;    1 -- Turn off all optimizations that affect coverage reports.
392
;    2 -- Allow optimizations that allow large performance improvements
393
;         by invoking sequential processes only when the data changes.
394
;         This may make major reductions in coverage counts.
395
;    3 -- In addition, allow optimizations that may change expressions or
396
;         remove some statements. Allow constant propagation. Allow VHDL
397
;         subprogram inlining and VHDL FF recognition.
398
;    4 -- In addition, allow optimizations that may remove major regions of
399
;         code by changing assignments to built-ins or removing unused
400
;         signals. Change Verilog gates to continuous assignments.
401
; CoverOpt = 3
402
 
403
; Specify the override for the default value of "cross_num_print_missing"
404
; option for the Cross in Covergroups. If not specified then LRM default
405
; value of 0 (zero) is used. This is a compile time option.
406
; SVCrossNumPrintMissingDefault = 0
407
 
408
; Setting following to 1 would cause creation of variables which
409
; would represent the value of Coverpoint expressions. This is used
410
; in conjunction with "SVCoverpointExprVariablePrefix" option
411
; in the modelsim.ini
412
; EnableSVCoverpointExprVariable = 0
413
 
414
; Specify the override for the prefix used in forming the variable names
415
; which represent the Coverpoint expressions. This is used in conjunction with
416
; "EnableSVCoverpointExprVariable" option of the modelsim.ini
417
; The default prefix is "expr".
418
; The variable name is
419
;    variable name => _
420
; SVCoverpointExprVariablePrefix = expr
421
 
422
; Override for the default value of the SystemVerilog covergroup,
423
; coverpoint, and cross option.goal (defined to be 100 in the LRM).
424
; NOTE: It does not override specific assignments in SystemVerilog
425
; source code. NOTE: The modelsim.ini variable "SVCovergroupGoal"
426
; in the [vsim] section can override this value.
427
; SVCovergroupGoalDefault = 100
428
 
429
; Override for the default value of the SystemVerilog covergroup,
430
; coverpoint, and cross type_option.goal (defined to be 100 in the LRM)
431
; NOTE: It does not override specific assignments in SystemVerilog
432
; source code. NOTE: The modelsim.ini variable "SVCovergroupTypeGoal"
433
; in the [vsim] section can override this value.
434
; SVCovergroupTypeGoalDefault = 100
435
 
436
; Specify the override for the default value of "strobe" option for the
437
; Covergroup Type. This is a compile time option which forces "strobe" to
438
; a user specified default value and supersedes SystemVerilog specified
439
; default value of '0'(zero). NOTE: This can be overriden by a runtime
440
; modelsim.ini variable "SVCovergroupStrobe" in the [vsim] section.
441
; SVCovergroupStrobeDefault = 0
442
 
443
; Specify the override for the default value of "merge_instances" option for
444
; the Covergroup Type. This is a compile time option which forces
445
; "merge_instances" to a user specified default value and supersedes
446
; SystemVerilog specified default value of '0'(zero).
447
; SVCovergroupMergeInstancesDefault = 0
448
 
449
; Specify the override for the default value of "per_instance" option for the
450
; Covergroup variables. This is a compile time option which forces "per_instance"
451
; to a user specified default value and supersedes SystemVerilog specified
452
; default value of '0'(zero).
453
; SVCovergroupPerInstanceDefault = 0
454
 
455
; Specify the override for the default value of "get_inst_coverage" option for the
456
; Covergroup variables. This is a compile time option which forces
457
; "get_inst_coverage" to a user specified default value and supersedes
458
; SystemVerilog specified default value of '0'(zero).
459
; SVCovergroupGetInstCoverageDefault = 0
460
 
461
;
462
; A space separated list of resource libraries that contain precompiled
463
; packages.  The behavior is identical to using the "-L" switch.
464
;
465
; LibrarySearchPath =  [ ...]
466
LibrarySearchPath = mtiAvm mtiOvm mtiUvm mtiUPF
467
 
468
; The behavior is identical to the "-mixedansiports" switch.  Default is off.
469
; MixedAnsiPorts = 1
470
 
471
; Enable SystemVerilog 3.1a $typeof() function. Default is off.
472
; EnableTypeOf = 1
473
 
474
; Only allow lower case pragmas. Default is disabled.
475
; AcceptLowerCasePragmaOnly = 1
476
 
477
; Set the maximum depth permitted for a recursive include file nesting.
478
; IncludeRecursionDepthMax = 5
479
 
480
; Turn ON detection of FSMs having single bit current state variable.
481
; FsmSingle = 1
482
 
483
; Turn off reset state transitions in FSM.
484
; FsmResetTrans = 0
485
 
486
; Turn off detections of FSMs having x-assignment.
487
; FsmXAssign = 0
488
 
489
; Turn ON detection of FSM Implicit Transitions.
490
; FsmImplicitTrans = 1
491
 
492
; List of file suffixes which will be read as SystemVerilog.  White space
493
; in extensions can be specified with a back-slash: "\ ".  Back-slashes
494
; can be specified with two consecutive back-slashes: "\\";
495
; SVFileExtensions = sv svp svh
496
 
497
; This setting is the same as the vlog -sv command line switch.
498
; Enables SystemVerilog features and keywords when true (1).
499
; When false (0), the rules of IEEE Std 1364-2001 are followed and
500
; SystemVerilog keywords are ignored.
501
; Svlog = 0
502
 
503
; Prints attribute placed upon SV packages during package import
504
; when true (1).  The attribute will be ignored when this
505
; entry is false (0). The attribute name is "package_load_message".
506
; The value of this attribute is a string literal.
507
; Default is true (1).
508
; PrintSVPackageLoadingAttribute = 1
509
 
510
; Do not show immediate assertions with constant expressions in
511
; GUI/reports/UCDB etc. By default immediate assertions with constant
512
; expressions are shown in GUI/reports/UCDB etc. This does not affect
513
; evaluation of immediate assertions.
514
; ShowConstantImmediateAsserts = 0
515
 
516
; Controls if untyped parameters that are initialized with values greater
517
; than 2147483647 are mapped to generics of type INTEGER or ignored.
518
; If mapped to VHDL Integers, values greater than 2147483647
519
; are mapped to negative values.
520
; Default is to map these parameter to generic of type INTEGER
521
; ForceUnsignedToVHDLInteger = 1
522
 
523
; Enable AMS wreal (wired real) extensions.  Default is 0.
524
; WrealType = 1
525
 
526
[sccom]
527
; Enable use of SCV include files and library.  Default is off.
528
; UseScv = 1
529
 
530
; Add C++ compiler options to the sccom command line by using this variable.
531
; CppOptions = -g
532
 
533
; Use custom C++ compiler located at this path rather than the default path.
534
; The path should point directly at a compiler executable.
535
; CppPath = /usr/bin/g++
536
 
537
; Enable verbose messages from sccom.  Default is off.
538
; SccomVerbose = 1
539
 
540
; sccom logfile.  Default is no logfile.
541
; SccomLogfile = sccom.log
542
 
543
; Enable use of SC_MS include files and library.  Default is off.
544
; UseScMs = 1
545
 
546
[vopt]
547
; Turn on code coverage in vopt.  Default is off.
548
; Coverage = sbceft
549
 
550
; Control compiler optimizations that are allowed when
551
; code coverage is on.  Refer to the comment for this in the [vlog] area.
552
; CoverOpt = 3
553
 
554
; Increase or decrease the maximum number of rows allowed in a UDP table
555
; implementing a vopt condition coverage or expression coverage expression.
556
; More rows leads to a longer compile time, but more expressions covered.
557
; CoverMaxUDPRows = 192
558
 
559
; Increase or decrease the maximum number of input patterns that are present
560
; in FEC table. This leads to a longer compile time with more expressions
561
; covered with FEC metric.
562
; CoverMaxFECRows = 192
563
 
564
; Enable code coverage reporting of code that has been optimized away.
565
; The default is not to report.
566
; CoverReportCancelled = 1
567
 
568
; Do not show immediate assertions with constant expressions in
569
; GUI/reports/UCDB etc. By default immediate assertions with constant
570
; expressions are shown in GUI/reports/UCDB etc. This does not affect
571
; evaluation of immediate assertions.
572
; ShowConstantImmediateAsserts = 0
573
 
574
; Set the maximum number of iterations permitted for a generate loop.
575
; Restricting this permits the implementation to recognize infinite
576
; generate loops.
577
; GenerateLoopIterationMax = 100000
578
 
579
; Set the maximum depth permitted for a recursive generate instantiation.
580
; Restricting this permits the implementation to recognize infinite
581
; recursions.
582
; GenerateRecursionDepthMax = 200
583
 
584
 
585
[vsim]
586
; vopt flow
587
; Set to turn on automatic optimization of a design.
588
; Default is on
589
VoptFlow = 1
590
 
591
; Simulator resolution
592
; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100.
593
Resolution = ps
594
 
595
; Disable certain code coverage exclusions automatically.
596
; Assertions and FSM are exluded from the code coverage by default
597
; Set AutoExclusionsDisable = fsm to enable code coverage for fsm
598
; Set AutoExclusionsDisable = assertions to enable code coverage for assertions
599
; Set AutoExclusionsDisable = all to enable code coverage for all the automatic exclusions
600
; Or specify comma or space separated list
601
;AutoExclusionsDisable = fsm,assertions
602
 
603
; User time unit for run commands
604
; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the
605
; unit specified for Resolution. For example, if Resolution is 100ps,
606
; then UserTimeUnit defaults to ps.
607
; Should generally be set to default.
608
UserTimeUnit = default
609
 
610
; Default run length
611
RunLength = 100
612
 
613
; Maximum iterations that can be run without advancing simulation time
614
IterationLimit = 5000
615
 
616
; Control PSL and Verilog Assume directives during simulation
617
; Set SimulateAssumeDirectives = 0 to disable assume being simulated as asserts
618
; Set SimulateAssumeDirectives = 1 to enable assume simulation as asserts
619
; SimulateAssumeDirectives = 1
620
 
621
; Control the simulation of PSL and SVA
622
; These switches can be overridden by the vsim command line switches:
623
;    -psl, -nopsl, -sva, -nosva.
624
; Set SimulatePSL = 0 to disable PSL simulation
625
; Set SimulatePSL = 1 to enable PSL simulation (default)
626
; SimulatePSL = 1
627
; Set SimulateSVA = 0 to disable SVA simulation
628
; Set SimulateSVA = 1 to enable concurrent SVA simulation (default)
629
; SimulateSVA = 1
630
 
631
; Directives to license manager can be set either as single value or as
632
; space separated multi-values:
633
; vhdl          Immediately reserve a VHDL license
634
; vlog          Immediately reserve a Verilog license
635
; plus          Immediately reserve a VHDL and Verilog license
636
; noqueue       Do not wait in the license queue when a license is not available
637
; viewsim       Try for viewer license but accept simulator license(s) instead
638
;               of queuing for viewer license (PE ONLY)
639
; noviewer      Disable checkout of msimviewer and vsim-viewer license
640
;               features (PE ONLY)
641
; noslvhdl      Disable checkout of qhsimvh and vsim license features
642
; noslvlog      Disable checkout of qhsimvl and vsimvlog license features
643
; nomix         Disable checkout of msimhdlmix and hdlmix license features
644
; nolnl         Disable checkout of msimhdlsim and hdlsim license features
645
; mixedonly     Disable checkout of qhsimvh,qhsimvl,vsim,vsimvlog license
646
;               features
647
; lnlonly       Disable checkout of qhsimvh,qhsimvl,vsim,vsimvlog,msimhdlmix,
648
;               hdlmix license features
649
; Single value:
650
; License = plus
651
; Multi-value:
652
; License = noqueue plus
653
 
654
; Severity level of a VHDL assertion message or of a SystemVerilog immediate assertion
655
; which will cause a running simulation to stop.
656
; VHDL assertions and SystemVerilog immediate assertions that occur with the
657
; given severity or higher will cause a running simulation to stop.
658
; This value is ignored during elaboration.
659
; 0 = Note  1 = Warning  2 = Error  3 = Failure  4 = Fatal
660
BreakOnAssertion = 3
661
 
662
; Message Format conversion specifications:
663
; %S - Severity Level of message/assertion
664
; %R - Text of message
665
; %T - Time of message
666
; %D - Delta value (iteration number) of Time
667
; %K - Kind of path: Instance/Region/Signal/Process/Foreign Process/Unknown/Protected
668
; %i - Instance/Region/Signal pathname with Process name (if available)
669
; %I - shorthand for one of these:
670
;      "  %K: %i"
671
;      "  %K: %i File: %F" (when path is not Process or Signal)
672
;      except that the %i in this case does not report the Process name
673
; %O - Process name
674
; %P - Instance/Region path without leaf process
675
; %F - File name
676
; %L - Line number; if assertion message, then line number of assertion or, if
677
;      assertion is in a subprogram, line from which the call is made
678
; %u - Design unit name in form library.primary
679
; %U - Design unit name in form library.primary(secondary)
680
; %% - The '%' character itself
681
;
682
; If specific format for Severity Level is defined, use that format.
683
; Else, for a message that occurs during elaboration:
684
;   -- Failure/Fatal message in VHDL region that is not a Process, and in
685
;      certain non-VHDL regions, uses MessageFormatBreakLine;
686
;   -- Failure/Fatal message otherwise uses MessageFormatBreak;
687
;   -- Note/Warning/Error message uses MessageFormat.
688
; Else, for a message that occurs during runtime and triggers a breakpoint because
689
; of the BreakOnAssertion setting:
690
;   -- if in a VHDL region that is not a Process, uses MessageFormatBreakLine;
691
;   -- otherwise uses MessageFormatBreak.
692
; Else (a runtime message that does not trigger a breakpoint) uses MessageFormat.
693
;
694
; MessageFormatNote      = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
695
; MessageFormatWarning   = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
696
; MessageFormatError     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
697
; MessageFormatFail      = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
698
; MessageFormatFatal     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
699
; MessageFormatBreakLine = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F Line: %L\n"
700
; MessageFormatBreak     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
701
; MessageFormat          = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
702
 
703
; Error File - alternate file for storing error messages
704
; ErrorFile = error.log
705
 
706
; Simulation Breakpoint messages
707
; This flag controls the display of function names when reporting the location
708
; where the simulator stops because of a breakpoint or fatal error.
709
; Example with function name:    # Break in Process ctr at counter.vhd line 44
710
; Example without function name: # Break at counter.vhd line 44
711
; Default value is 1.
712
ShowFunctions = 1
713
 
714
; Default radix for all windows and commands.
715
; Set to symbolic, ascii, binary, octal, decimal, hex, unsigned
716
DefaultRadix = hex
717
 
718
; VSIM Startup command
719
; Startup = do startup.do
720
 
721
; VSIM Shutdown file
722
; Filename to save u/i formats and configurations.
723
; ShutdownFile = restart.do
724
; To explicitly disable auto save:
725
; ShutdownFile = --disable-auto-save
726
 
727
; File for saving command transcript
728
TranscriptFile = transcript
729
 
730
; File for saving command history
731
; CommandHistory = cmdhist.log
732
 
733
; Specify whether paths in simulator commands should be described
734
; in VHDL or Verilog format.
735
; For VHDL, PathSeparator = /
736
; For Verilog, PathSeparator = .
737
; Must not be the same character as DatasetSeparator.
738
PathSeparator = /
739
 
740
; Specify the dataset separator for fully rooted contexts.
741
; The default is ':'. For example: sim:/top
742
; Must not be the same character as PathSeparator.
743
DatasetSeparator = :
744
 
745
; Specify a unique path separator for the Signal Spy set of functions.
746
; The default will be to use the PathSeparator variable.
747
; Must not be the same character as DatasetSeparator.
748
; SignalSpyPathSeparator = /
749
 
750
; Used to control parsing of HDL identifiers input to the tool.
751
; This includes CLI commands, vsim/vopt/vlog/vcom options,
752
; string arguments to FLI/VPI/DPI calls, etc.
753
; If set to 1, accept either Verilog escaped Id syntax or
754
; VHDL extended id syntax, regardless of source language.
755
; If set to 0, the syntax of the source language must be used.
756
; Each identifier in a hierarchical name may need different syntax,
757
; e.g. "/top/\vhdl*ext*id\/middle/\vlog*ext*id /bottom" or
758
;       "top.\vhdl*ext*id\.middle.\vlog*ext*id .bottom"
759
; GenerousIdentifierParsing = 1
760
 
761
; Disable VHDL assertion messages
762
; IgnoreNote = 1
763
; IgnoreWarning = 1
764
; IgnoreError = 1
765
; IgnoreFailure = 1
766
 
767
; Disable SystemVerilog assertion messages
768
; IgnoreSVAInfo = 1
769
; IgnoreSVAWarning = 1
770
; IgnoreSVAError = 1
771
; IgnoreSVAFatal = 1
772
 
773
; Do not print any additional information from Severity System tasks.
774
; Only the message provided by the user is printed along with severity
775
; information.
776
; SVAPrintOnlyUserMessage = 1;
777
 
778
; Default force kind. May be freeze, drive, deposit, or default
779
; or in other terms, fixed, wired, or charged.
780
; A value of "default" will use the signal kind to determine the
781
; force kind, drive for resolved signals, freeze for unresolved signals
782
; DefaultForceKind = freeze
783
 
784
; Control the iteration of events when a VHDL signal is forced to a value
785
; This flag can be set to honour the signal update event in next iteration,
786
; the default is to update and propagate in the same iteration.
787
; ForceSigNextIter = 1
788
 
789
 
790
; If zero, open files when elaborated; otherwise, open files on
791
; first read or write.  Default is 0.
792
; DelayFileOpen = 1
793
 
794
; Control VHDL files opened for write.
795
;   0 = Buffered, 1 = Unbuffered
796
UnbufferedOutput = 0
797
 
798
; Control the number of VHDL files open concurrently.
799
; This number should always be less than the current ulimit
800
; setting for max file descriptors.
801
;   0 = unlimited
802
ConcurrentFileLimit = 40
803
 
804
; Control the number of hierarchical regions displayed as
805
; part of a signal name shown in the Wave window.
806
; A value of zero tells VSIM to display the full name.
807
; The default is 0.
808
; WaveSignalNameWidth = 0
809
 
810
; Turn off warnings when changing VHDL constants and generics
811
; Default is 1 to generate warning messages
812
; WarnConstantChange = 0
813
 
814
; Turn off warnings from accelerated versions of the std_logic_arith,
815
; std_logic_unsigned, and std_logic_signed packages.
816
; StdArithNoWarnings = 1
817
 
818
; Turn off warnings from accelerated versions of the IEEE numeric_std
819
; and numeric_bit packages.
820
; NumericStdNoWarnings = 1
821
 
822
; Use old-style (pre-6.6) VHDL FOR generate statement iteration names
823
; in the design hierarchy.
824
; This style is controlled by the value of the GenerateFormat
825
; value described next.  Default is to use new-style names, which
826
; comprise the generate statement label, '(', the value of the generate
827
; parameter, and a closing ')'.
828
; Uncomment this to use old-style names.
829
; OldVhdlForGenNames = 1
830
 
831
; Enable changes in VHDL elaboration to allow for Variable Logging
832
; This trades off simulation performance for the ability to log variables
833
; efficiently.  By default this is disable for maximum simulation performance
834
; VhdlVariableLogging = 1
835
 
836
; Control the format of the old-style VHDL FOR generate statement region
837
; name for each iteration.  Do not quote it.
838
; The format string here must contain the conversion codes %s and %d,
839
; in that order, and no other conversion codes.  The %s represents
840
; the generate statement label; the %d represents the generate parameter value
841
; at a particular iteration (this is the position number if the generate parameter
842
; is of an enumeration type).  Embedded whitespace is allowed (but discouraged);
843
; leading and trailing whitespace is ignored.
844
; Application of the format must result in a unique region name over all
845
; loop iterations for a particular immediately enclosing scope so that name
846
; lookup can function properly.  The default is %s__%d.
847
; GenerateFormat = %s__%d
848
 
849
; Specify whether checkpoint files should be compressed.
850
; The default is 1 (compressed).
851
; CheckpointCompressMode = 0
852
 
853
; Specify gcc compiler used in the compilation of automatically generated DPI exportwrapper.
854
; Use custom gcc compiler located at this path rather than the default path.
855
; The path should point directly at a compiler executable.
856
; DpiCppPath = /bin/gcc
857
 
858
; Specify whether to enable SystemVerilog DPI "out-of-the-blue" calls.
859
; The term "out-of-the-blue" refers to SystemVerilog export function calls
860
; made from C functions that don't have the proper context setup
861
; (as is the case when running under "DPI-C" import functions).
862
; When this is enabled, one can call a DPI export function
863
; (but not task) from any C code.
864
; the setting of this variable can be one of the following values:
865
; 0 : dpioutoftheblue call is disabled (default)
866
; 1 : dpioutoftheblue call is enabled, but export call debug support is not available.
867
; 2 : dpioutoftheblue call is enabled, and limited export call debug support is available.
868
; DpiOutOfTheBlue = 1
869
 
870
; Specify whether continuous assignments are run before other normal priority
871
; processes scheduled in the same iteration. This event ordering minimizes race
872
; differences between optimized and non-optimized designs, and is the default
873
; behavior beginning with the 6.5 release. For pre-6.5 event ordering, set
874
; ImmediateContinuousAssign to 0.
875
; The default is 1 (enabled).
876
; ImmediateContinuousAssign = 0
877
 
878
; List of dynamically loaded objects for Verilog PLI applications
879
; Veriuser = veriuser.sl
880
 
881
; Which default VPI object model should the tool conform to?
882
; The 1364 modes are Verilog-only, for backwards compatibility with older
883
; libraries, and SystemVerilog objects are not available in these modes.
884
;
885
; In the absence of a user-specified default, the tool default is the
886
; latest available LRM behavior.
887
; Options for PliCompatDefault are:
888
;  VPI_COMPATIBILITY_VERSION_1364v1995
889
;  VPI_COMPATIBILITY_VERSION_1364v2001
890
;  VPI_COMPATIBILITY_VERSION_1364v2005
891
;  VPI_COMPATIBILITY_VERSION_1800v2005
892
;  VPI_COMPATIBILITY_VERSION_1800v2008
893
;
894
; Synonyms for each string are also recognized:
895
;  VPI_COMPATIBILITY_VERSION_1364v1995 (1995, 95, 1364v1995, 1364V1995, VL1995)
896
;  VPI_COMPATIBILITY_VERSION_1364v2001 (2001, 01, 1364v2001, 1364V2001, VL2001)
897
;  VPI_COMPATIBILITY_VERSION_1364v2005 (1364v2005, 1364V2005, VL2005)
898
;  VPI_COMPATIBILITY_VERSION_1800v2005 (2005, 05, 1800v2005, 1800V2005, SV2005)
899
;  VPI_COMPATIBILITY_VERSION_1800v2008 (2008, 08, 1800v2008, 1800V2008, SV2008)
900
 
901
 
902
; PliCompatDefault = VPI_COMPATIBILITY_VERSION_1800v2005
903
 
904
; Specify default options for the restart command. Options can be one
905
; or more of: -force -nobreakpoint -nolist -nolog -nowave -noassertions
906
; DefaultRestartOptions = -force
907
 
908
; Turn on (1) or off (0) WLF file compression.
909
; The default is 1 (compress WLF file).
910
; WLFCompress = 0
911
 
912
; Specify whether to save all design hierarchy (1) in the WLF file
913
; or only regions containing logged signals (0).
914
; The default is 0 (save only regions with logged signals).
915
; WLFSaveAllRegions = 1
916
 
917
; WLF file time limit.  Limit WLF file by time, as closely as possible,
918
; to the specified amount of simulation time.  When the limit is exceeded
919
; the earliest times get truncated from the file.
920
; If both time and size limits are specified the most restrictive is used.
921
; UserTimeUnits are used if time units are not specified.
922
; The default is 0 (no limit).  Example: WLFTimeLimit = {100 ms}
923
; WLFTimeLimit = 0
924
 
925
; WLF file size limit.  Limit WLF file size, as closely as possible,
926
; to the specified number of megabytes.  If both time and size limits
927
; are specified then the most restrictive is used.
928
; The default is 0 (no limit).
929
; WLFSizeLimit = 1000
930
 
931
; Specify whether or not a WLF file should be deleted when the
932
; simulation ends.  A value of 1 will cause the WLF file to be deleted.
933
; The default is 0 (do not delete WLF file when simulation ends).
934
; WLFDeleteOnQuit = 1
935
 
936
; Specify whether or not a WLF file should be optimized during
937
; simulation.  If set to 0, the WLF file will not be optimized.
938
; The default is 1, optimize the WLF file.
939
; WLFOptimize = 0
940
 
941
; Specify the name of the WLF file.
942
; The default is vsim.wlf
943
; WLFFilename = vsim.wlf
944
 
945
; Specify whether to lock the WLF file.
946
; Locking the file prevents other invocations of ModelSim/Questa tools from
947
; inadvertently overwriting the WLF file.
948
; The default is 1, lock the WLF file.
949
; WLFFileLock = 0
950
 
951
; Specify the WLF reader cache size limit for each open WLF file.
952
; The size is giving in megabytes.  A value of 0 turns off the
953
; WLF cache.
954
; WLFSimCacheSize allows a different cache size to be set for
955
; simulation WLF file independent of post-simulation WLF file
956
; viewing.  If WLFSimCacheSize is not set it defaults to the
957
; WLFCacheSize setting.
958
; The default WLFCacheSize setting is enabled to 256M per open WLF file.
959
; WLFCacheSize = 2000
960
; WLFSimCacheSize = 500
961
 
962
; Specify the WLF file event collapse mode.
963
; 0 = Preserve all events and event order. (same as -wlfnocollapse)
964
; 1 = Only record values of logged objects at the end of a simulator iteration.
965
;     (same as -wlfcollapsedelta)
966
; 2 = Only record values of logged objects at the end of a simulator time step.
967
;     (same as -wlfcollapsetime)
968
; The default is 1.
969
; WLFCollapseMode = 0
970
 
971
; Specify whether WLF file logging can use threads on multi-processor machines
972
; if 0, no threads will be used, if 1, threads will be used if the system has
973
; more than one processor
974
; WLFUseThreads = 1
975
 
976
; Turn on/off undebuggable SystemC type warnings. Default is on.
977
; ShowUndebuggableScTypeWarning = 0
978
 
979
; Turn on/off unassociated SystemC name warnings. Default is off.
980
; ShowUnassociatedScNameWarning = 1
981
 
982
; Turn on/off SystemC IEEE 1666 deprecation warnings. Default is off.
983
; ScShowIeeeDeprecationWarnings = 1
984
 
985
; Turn on/off the check for multiple drivers on a SystemC sc_signal. Default is off.
986
; ScEnableScSignalWriteCheck = 1
987
 
988
; Set SystemC default time unit.
989
; Set to fs, ps, ns, us, ms, or sec with optional
990
; prefix of 1, 10, or 100.  The default is 1 ns.
991
; The ScTimeUnit value is honored if it is coarser than Resolution.
992
; If ScTimeUnit is finer than Resolution, it is set to the value
993
; of Resolution. For example, if Resolution is 100ps and ScTimeUnit is ns,
994
; then the default time unit will be 1 ns.  However if Resolution
995
; is 10 ns and ScTimeUnit is ns, then the default time unit will be 10 ns.
996
ScTimeUnit = ns
997
 
998
; Set SystemC sc_main stack size. The stack size is set as an integer
999
; number followed by the unit which can be Kb(Kilo-byte), Mb(Mega-byte) or
1000
; Gb(Giga-byte). Default is 10 Mb. The stack size for sc_main depends
1001
; on the amount of data on the sc_main() stack and the memory required
1002
; to succesfully execute the longest function call chain of sc_main().
1003
ScMainStackSize = 10 Mb
1004
 
1005
; Turn on/off execution of remainder of sc_main upon quitting the current
1006
; simulation session. If the cumulative length of sc_main() in terms of
1007
; simulation time units is less than the length of the current simulation
1008
; run upon quit or restart, sc_main() will be in the middle of execution.
1009
; This switch gives the option to execute the remainder of sc_main upon
1010
; quitting simulation. The drawback of not running sc_main till the end
1011
; is memory leaks for objects created by sc_main. If on, the remainder of
1012
; sc_main will be executed ignoring all delays. This may cause the simulator
1013
; to crash if the code in sc_main is dependent on some simulation state.
1014
; Default is on.
1015
ScMainFinishOnQuit = 1
1016
 
1017
; Set the SCV relationship name that will be used to identify phase
1018
; relations.  If the name given to a transactor relation matches this
1019
; name, the transactions involved will be treated as phase transactions
1020
ScvPhaseRelationName = mti_phase
1021
 
1022
; Customize the vsim kernel shutdown behavior at the end of the simulation.
1023
; Some common causes of the end of simulation are $finish (implicit or explicit),
1024
; sc_stop(), tf_dofinish(), and assertion failures.
1025
; This should be set to "ask", "exit", or "stop". The default is "ask".
1026
; "ask"   -- In batch mode, the vsim kernel will abruptly exit.
1027
;            In GUI mode, a dialog box will pop up and ask for user confirmation
1028
;            whether or not to quit the simulation.
1029
; "stop"  -- Cause the simulation to stay loaded in memory. This can make some
1030
;            post-simulation tasks easier.
1031
; "exit"  -- The simulation will abruptly exit without asking for any confirmation.
1032
; "final" -- Run SystemVerilog final blocks then behave as "stop".
1033
; Note: This variable can be overridden with the vsim "-onfinish" command line switch.
1034
OnFinish = ask
1035
 
1036
; Print pending deferred assertion messages.
1037
; Deferred assertion messages may be scheduled after the $finish in the same
1038
; time step. Deferred assertions scheduled to print after the $finish are
1039
; printed before exiting with severity level NOTE since it's not known whether
1040
; the assertion is still valid due to being printed in the active region
1041
; instead of the reactive region where they are normally printed.
1042
; OnFinishPendingAssert = 1;
1043
 
1044
; Print "simstats" result
1045
; 0 == do not print simstats
1046
; 1 == print at end of simulation
1047
; 2 == print at end of run
1048
; 3 == print at end of run and end of simulation
1049
; default == 0
1050
; PrintSimStats = 1
1051
 
1052
 
1053
; Assertion File - alternate file for storing VHDL/PSL/Verilog assertion messages
1054
; AssertFile = assert.log
1055
 
1056
; Enable assertion counts. Default is off.
1057
; AssertionCover = 1
1058
 
1059
; Run simulator in assertion debug mode. Default is off.
1060
; AssertionDebug = 1
1061
 
1062
; Turn on/off PSL/SVA/VHDL assertion enable. Default is on.
1063
; AssertionEnable = 0
1064
 
1065
; Set PSL/SVA/VHDL concurrent assertion fail limit. Default is -1.
1066
; Any positive integer, -1 for infinity.
1067
; AssertionLimit = 1
1068
 
1069
; Turn on/off concurrent assertion pass log. Default is off.
1070
; Assertion pass logging is only enabled when assertion is browseable
1071
; and assertion debug is enabled.
1072
; AssertionPassLog = 1
1073
 
1074
; Turn on/off PSL concurrent assertion fail log. Default is on.
1075
; The flag does not affect SVA
1076
; AssertionFailLog = 0
1077
 
1078
; Turn on/off SVA concurrent assertion local var printing in -assertdebug mode.  Default is on.
1079
; AssertionFailLocalVarLog = 0
1080
 
1081
; Set action type for PSL/SVA concurrent assertion fail action. Default is continue.
1082
; 0 = Continue  1 = Break  2 = Exit
1083
; AssertionFailAction = 1
1084
 
1085
; Enable the active thread monitor in the waveform display when assertion debug is enabled.
1086
; AssertionActiveThreadMonitor = 1
1087
 
1088
; Control how many waveform rows will be used for displaying the active threads.  Default is 5.
1089
; AssertionActiveThreadMonitorLimit = 5
1090
 
1091
; Assertion thread limit after which assertion would be killed/switched off.
1092
; The default is -1 (unlimited). If the number of threads for an assertion go
1093
; beyond this limit, the assertion would be either switched off or killed. This
1094
; limit applies to only assert directives.
1095
;AssertionThreadLimit = -1
1096
 
1097
; Action to be taken once the assertion thread limit is reached. Default
1098
; is kill. It can have a value of off or kill. In case of kill, all the existing
1099
; threads are terminated and no new attempts are started. In case of off, the
1100
; existing attempts keep on evaluating but no new attempts are started. This
1101
; variable applies to only assert directives.
1102
;AssertionThreadLimitAction = kill
1103
 
1104
; Cover thread limit after which cover would be killed/switched off.
1105
; The default is -1 (unlimited). If the number of threads for a cover go
1106
; beyond this limit, the cover would be either switched off or killed. This
1107
; limit applies to only cover directives.
1108
;CoverThreadLimit = -1
1109
 
1110
; Action to be taken once the cover thread limit is reached. Default
1111
; is kill. It can have a value of off or kill. In case of kill, all the existing
1112
; threads are terminated and no new attempts are started. In case of off, the
1113
; existing attempts keep on evaluating but no new attempts are started. This
1114
; variable applies to only cover directives.
1115
;CoverThreadLimitAction = kill
1116
 
1117
 
1118
; By default immediate assertions do not participate in Assertion Coverage calculations
1119
; unless they are executed.  This switch causes all immediate assertions in the design
1120
; to participate in Assertion Coverage calculations, whether attempted or not.
1121
; UnattemptedImmediateAssertions = 0
1122
 
1123
; By default immediate covers participate in Coverage calculations
1124
; whether they are attempted or not. This switch causes all unattempted
1125
; immediate covers in the design to stop participating in Coverage
1126
; calculations.
1127
; UnattemptedImmediateCovers = 0
1128
 
1129
; By default pass action block is not executed for assertions on vacuous
1130
; success. The following variable is provided to enable execution of
1131
; pass action block on vacuous success. The following variable is only effective
1132
; if the user does not disable pass action block execution by using either
1133
; system tasks or CLI. Also there is a performance penalty for enabling
1134
; the following variable.
1135
;AssertionEnableVacuousPassActionBlock = 1
1136
 
1137
; As per strict 1850-2005 PSL LRM, an always property can either pass
1138
; or fail. However, by default, Questa reports multiple passes and
1139
; multiple fails on top always/never property (always/never operator
1140
; is the top operator under Verification Directive). The reason
1141
; being that Questa reports passes and fails on per attempt of the
1142
; top always/never property. Use the following flag to instruct
1143
; Questa to strictly follow LRM. With this flag, all assert/never
1144
; directives will start an attempt once at start of simulation.
1145
; The attempt can either fail, match or match vacuously.
1146
; For e.g. if always is the top operator under assert, the always will
1147
; keep on checking the property at every clock. If the property under
1148
; always fails, the directive will be considered failed and no more
1149
; checking will be done for that directive. A top always property,
1150
; if it does not fail, will show a pass at end of simulation.
1151
; The default value is '0' (i.e. zero is off). For example:
1152
; PslOneAttempt = 1
1153
 
1154
; Specify the number of clock ticks to represent infinite clock ticks.
1155
; This affects eventually!, until! and until_!. If at End of Simulation
1156
; (EOS) an active strong-property has not clocked this number of
1157
; clock ticks then neither pass or fail (vacuous match) is returned
1158
; else respective fail/pass is returned. The default value is '0' (zero)
1159
; which effectively does not check for clock tick condition. For example:
1160
; PslInfinityThreshold = 5000
1161
 
1162
; Control how many thread start times will be preserved for ATV viewing for a given assertion
1163
; instance.  Default is -1 (ALL).
1164
; ATVStartTimeKeepCount = -1
1165
 
1166
; Turn on/off code coverage
1167
; CodeCoverage = 0
1168
 
1169
; Count all code coverage condition and expression truth table rows that match.
1170
; CoverCountAll = 1
1171
 
1172
; Turn off automatic inclusion of VHDL integers in toggle coverage. Default
1173
; is to include them.
1174
; ToggleNoIntegers = 1
1175
 
1176
; Set the maximum number of values that are collected for toggle coverage of
1177
; VHDL integers. Default is 100;
1178
; ToggleMaxIntValues = 100
1179
 
1180
; Set the maximum number of values that are collected for toggle coverage of
1181
; Verilog real. Default is 100;
1182
; ToggleMaxRealValues = 100
1183
 
1184
; Turn on automatic inclusion of Verilog integers in toggle coverage, except
1185
; for enumeration types. Default is to include them.
1186
; ToggleVlogIntegers = 0
1187
 
1188
; Turn on automatic inclusion of Verilog real type in toggle coverage, except
1189
; for shortreal types. Default is to not include them.
1190
; ToggleVlogReal = 1
1191
 
1192
; Turn on automatic inclusion of Verilog fixed-size unpacked arrays, VHDL multi-d arrays
1193
; and VHDL arrays-of-arrays in toggle coverage.
1194
; Default is to not include them.
1195
; ToggleFixedSizeArray = 1
1196
 
1197
; Increase or decrease the maximum size of Verilog unpacked fixed-size arrays,
1198
; VHDL multi-d arrays and VHDL arrays-of-arrays that are included for toggle coverage.
1199
; This leads to a longer simulation time with bigger arrays covered with toggle coverage.
1200
; Default is 1024.
1201
; ToggleMaxFixedSizeArray = 1024
1202
 
1203
; Treat Verilog multi-dimensional packed vectors and packed structures as equivalently sized
1204
; one-dimensional packed vectors for toggle coverage. Default is 0.
1205
; TogglePackedAsVec = 0
1206
 
1207
; Treat Verilog enumerated types as equivalently sized one-dimensional packed vectors for
1208
; toggle coverage. Default is 0.
1209
; ToggleVlogEnumBits = 0
1210
 
1211
; Limit the widths of registers automatically tracked for toggle coverage. Default is 128.
1212
; For unlimited width, set to 0.
1213
; ToggleWidthLimit = 128
1214
 
1215
; Limit the counts that are tracked for toggle coverage. When all edges for a bit have
1216
; reached this count, further activity on the bit is ignored. Default is 1.
1217
; For unlimited counts, set to 0.
1218
; ToggleCountLimit = 1
1219
 
1220
; Change the mode of extended toggle coverage. Default is 3. Valid modes are 1, 2 and 3.
1221
; Following is the toggle coverage calculation criteria based on extended toggle mode:
1222
; Mode 1: 0L->1H & 1H->0L & any one 'Z' transition (to/from 'Z').
1223
; Mode 2: 0L->1H & 1H->0L & one transition to 'Z' & one transition from 'Z'.
1224
; Mode 3: 0L->1H & 1H->0L & all 'Z' transitions.
1225
; ExtendedToggleMode = 3
1226
 
1227
; Enable toggle statistics collection only for ports. Default is 0.
1228
; TogglePortsOnly = 1
1229
 
1230
; Turn on/off all PSL/SVA cover directive enables.  Default is on.
1231
; CoverEnable = 0
1232
 
1233
; Turn on/off PSL/SVA cover log.  Default is off "0".
1234
; CoverLog = 1
1235
 
1236
; Set "at_least" value for all PSL/SVA cover directives.  Default is 1.
1237
; CoverAtLeast = 2
1238
 
1239
; Set "limit" value for all PSL/SVA cover directives.  Default is -1.
1240
; Any positive integer, -1 for infinity.
1241
; CoverLimit = 1
1242
 
1243
; Specify the coverage database filename.
1244
; Default is "" (i.e. database is NOT automatically saved on close).
1245
; UCDBFilename = vsim.ucdb
1246
 
1247
; Specify the maximum limit for the number of Cross (bin) products reported
1248
; in XML and UCDB report against a Cross. A warning is issued if the limit
1249
; is crossed. Default is zero. vsim switch -cvgmaxrptrhscross can override this
1250
; setting.
1251
; MaxReportRhsSVCrossProducts = 1000
1252
 
1253
; Specify the override for the "auto_bin_max" option for the Covergroups.
1254
; If not specified then value from Covergroup "option" is used.
1255
; SVCoverpointAutoBinMax = 64
1256
 
1257
; Specify the override for the value of "cross_num_print_missing"
1258
; option for the Cross in Covergroups. If not specified then value
1259
; specified in the "option.cross_num_print_missing" is used. This
1260
; is a runtime option. NOTE: This overrides any "cross_num_print_missing"
1261
; value specified by user in source file and any SVCrossNumPrintMissingDefault
1262
; specified in modelsim.ini.
1263
; SVCrossNumPrintMissing = 0
1264
 
1265
; Specify whether to use the value of "cross_num_print_missing"
1266
; option in report and GUI for the Cross in Covergroups. If not specified then
1267
; cross_num_print_missing is ignored for creating reports and displaying
1268
; covergroups in GUI. Default is 0, which means ignore "cross_num_print_missing".
1269
; UseSVCrossNumPrintMissing = 0
1270
 
1271
; Specify the override for the value of "strobe" option for the
1272
; Covergroup Type. If not specified then value in "type_option.strobe"
1273
; will be used. This is runtime option which forces "strobe" to
1274
; user specified value and supersedes user specified values in the
1275
; SystemVerilog Code. NOTE: This also overrides the compile time
1276
; default value override specified using "SVCovergroupStrobeDefault"
1277
; SVCovergroupStrobe = 0
1278
 
1279
; Override for explicit assignments in source code to "option.goal" of
1280
; SystemVerilog covergroup, coverpoint, and cross. It also overrides the
1281
; default value of "option.goal" (defined to be 100 in the SystemVerilog
1282
; LRM) and the value of modelsim.ini variable "SVCovergroupGoalDefault".
1283
; SVCovergroupGoal = 100
1284
 
1285
; Override for explicit assignments in source code to "type_option.goal" of
1286
; SystemVerilog covergroup, coverpoint, and cross. It also overrides the
1287
; default value of "type_option.goal" (defined to be 100 in the SystemVerilog
1288
; LRM) and the value of modelsim.ini variable "SVCovergroupTypeGoalDefault".
1289
; SVCovergroupTypeGoal = 100
1290
 
1291
; Enforce the 6.3 behavior of covergroup get_coverage() and get_inst_coverage()
1292
; builtin functions, and report. This setting changes the default values of
1293
; option.get_inst_coverage and type_option.merge_instances to ensure the 6.3
1294
; behavior if explicit assignments are not made on option.get_inst_coverage and
1295
; type_option.merge_instances by the user. There are two vsim command line
1296
; options, -cvg63 and -nocvg63 to override this setting from vsim command line.
1297
; The default value of this variable from release 6.6 onwards is 0. This default
1298
; drives compliance with the clarified behavior in the IEEE 1800-2009 standard.
1299
; SVCovergroup63Compatibility = 0
1300
 
1301
; Enforce the 6.5 default behavior of covergroup get_coverage() builtin
1302
; functions, GUI, and report. This setting changes the default values of
1303
; type_option.merge_instances to ensure the 6.5 default behavior if explicit
1304
; assignments are not made on type_option.merge_instances by the user.
1305
; There are two vsim command line options, -cvgmergeinstances and
1306
; -nocvgmergeinstances to override this setting from vsim command line.
1307
; The default value of this variable from release 6.6 onwards is 0. This default
1308
; drives compliance with the clarified behavior in the IEEE 1800-2009 standard.
1309
; SvCovergroupMergeInstancesDefault = 1
1310
 
1311
; Enable or disable generation of more detailed information about the sampling
1312
; of covergroup, cross, and coverpoints. It provides the details of the number
1313
; of times the covergroup instance and type were sampled, as well as details
1314
; about why covergroup, cross and coverpoint were not covered. A non-zero value
1315
; is to enable this feature. 0 is to disable this feature. Default is 0
1316
; SVCovergroupSampleInfo = 0
1317
 
1318
; Specify the maximum number of Coverpoint bins in whole design for
1319
; all Covergroups.
1320
; MaxSVCoverpointBinsDesign = 2147483648
1321
 
1322
; Specify maximum number of Coverpoint bins in any instance of a Covergroup
1323
; MaxSVCoverpointBinsInst = 2147483648
1324
 
1325
; Specify the maximum number of Cross bins in whole design for
1326
; all Covergroups.
1327
; MaxSVCrossBinsDesign = 2147483648
1328
 
1329
; Specify maximum number of Cross bins in any instance of a Covergroup
1330
; MaxSVCrossBinsInst = 2147483648
1331
 
1332
; Specify a space delimited list of double quoted TCL style
1333
; regular expressions which will be matched against the text of all messages.
1334
; If any regular expression is found to be contained within any message, the
1335
; status for that message will not be propagated to the UCDB TESTSTATUS.
1336
; If no match is detected, then the status will be propagated to the
1337
; UCDB TESTSTATUS. More than one such regular expression text is allowed,
1338
; and each message text is compared for each regular expression in the list.
1339
; UCDBTestStatusMessageFilter = "Done with Test Bench" "Ignore .* message"
1340
 
1341
; Set weight for all PSL/SVA cover directives.  Default is 1.
1342
; CoverWeight = 2
1343
 
1344
; Check vsim plusargs.  Default is 0 (off).
1345
; 0 = Don't check plusargs
1346
; 1 = Warning on unrecognized plusarg
1347
; 2 = Error and exit on unrecognized plusarg
1348
; CheckPlusargs = 1
1349
 
1350
; Load the specified shared objects with the RTLD_GLOBAL flag.
1351
; This gives global visibility to all symbols in the shared objects,
1352
; meaning that subsequently loaded shared objects can bind to symbols
1353
; in the global shared objects.  The list of shared objects should
1354
; be whitespace delimited.  This option is not supported on the
1355
; Windows or AIX platforms.
1356
; GlobalSharedObjectList = example1.so example2.so example3.so
1357
 
1358
; Run the 0in tools from within the simulator.
1359
; Default is off.
1360
; ZeroIn = 1
1361
 
1362
; Set the options to be passed to the 0in runtime tool.
1363
; Default value set to "".
1364
; ZeroInOptions = ""
1365
 
1366
; Initial seed for the random number generator of the root thread (SystemVerilog).
1367
; NOTE: This variable can be overridden with the vsim "-sv_seed" command line switch.
1368
; The default value is 0.
1369
; Sv_Seed = 0
1370
 
1371
; Specify the solver "engine" that vsim will select for constrained random
1372
; generation.
1373
; Valid values are:
1374
;    "auto" - automatically select the best engine for the current
1375
;             constraint scenario
1376
;    "bdd"  - evaluate all constraint scenarios using the BDD solver engine
1377
;    "act"  - evaluate all constraint scenarios using the ACT solver engine
1378
; While the BDD solver engine is generally efficient with constraint scenarios
1379
; involving bitwise logical relationships, the ACT solver engine can exhibit
1380
; superior performance with constraint scenarios involving large numbers of
1381
; random variables related via arithmetic operators (+, *, etc).
1382
; NOTE: This variable can be overridden with the vsim "-solveengine" command
1383
; line switch.
1384
; The default value is "auto".
1385
; SolveEngine = auto
1386
 
1387
; Specify if the solver should attempt to ignore overflow/underflow semantics
1388
; for arithmetic constraints (multiply, addition, subtraction) in order to
1389
; improve performance. The "solveignoreoverflow" attribute can be specified on
1390
; a per-call basis to randomize() to override this setting.
1391
; The default value is 0 (overflow/underflow is not ignored). Set to 1 to
1392
; ignore overflow/underflow.
1393
; SolveIgnoreOverflow = 0
1394
 
1395
; Specifies the maximum size that a dynamic array may be resized to by the
1396
; solver. If the solver attempts to resize a dynamic array to a size greater
1397
; than the specified limit, the solver will abort with an error.
1398
; The default value is 2000. A value of 0 indicates no limit.
1399
; SolveArrayResizeMax = 2000
1400
 
1401
; Error message severity when randomize() failure is detected (SystemVerilog).
1402
; 0 = No error  1 = Warning  2 = Error  3 = Failure  4 = Fatal
1403
; The default is 0 (no error).
1404
; SolveFailSeverity = 0
1405
 
1406
; Enable/disable debug information for randomize() failures.
1407
; NOTE: This variable can be overridden with the vsim "-solvefaildbug" command
1408
; line switch.
1409
; The default is 0 (disabled). Set to 1 to enable.
1410
; SolveFailDebug = 0
1411
 
1412
; Specify the maximum size of the solution graph generated by the BDD solver.
1413
; This value can be used to force the BDD solver to abort the evaluation of a
1414
; complex constraint scenario that cannot be evaluated with finite memory.
1415
; This value is specified in 1000s of nodes.
1416
; The default value is 10000. A value of 0 indicates no limit.
1417
; SolveGraphMaxSize = 10000
1418
 
1419
; Specify the maximum number of evaluations that may be performed on the
1420
; solution graph by the BDD solver. This value can be used to force the BDD
1421
; solver to abort the evaluation of a complex constraint scenario that cannot
1422
; be evaluated in finite time. This value is specified in 10000s of evaluations.
1423
; The default value is 10000. A value of 0 indicates no limit.
1424
; SolveGraphMaxEval = 10000
1425
 
1426
; Specify the maximum number of tests that the ACT solver may evaluate before
1427
; abandoning an attempt to solve a particular constraint scenario.
1428
; The default value is 20000000.  A value of 0 indicates no limit.
1429
; SolveACTMaxTests = 20000000
1430
 
1431
; Specify the maximum number of operations that the ACT solver may perform
1432
; before abandoning an attempt to solve a particular constraint scenario.  The
1433
; value is specified in 1000000s of operations.  The default value is 1000.  A
1434
; value of 0 indicates no limit.
1435
; SolveACTMaxOps = 1000
1436
 
1437
; Specify the number of times the ACT solver will retry to evaluate a constraint
1438
; scenario that fails due to the SolveACTMaxTests threshold.
1439
; The default value is 0 (no retry).
1440
; SolveACTRetryCount = 0
1441
 
1442
; SolveSpeculateLevel controls whether or not the solver performs speculation
1443
; during the evaluation of a constraint scenario.
1444
; Speculation is an attempt to partition complex constraint scenarios by
1445
; choosing a 'speculation' subset of the variables and constraints.  This
1446
; 'speculation' set is solved independently of the remaining constraints.
1447
; The solver then attempts to solve the remaining variables and constraints
1448
; (the 'dependent' set).  If this attempt fails, the solver backs up and
1449
; re-solves the 'speculation' set, then retries the 'dependent' set.
1450
; Valid values are:
1451
;    0 - no speculation
1452
;    1 - enable speculation that maintains LRM specified distribution
1453
;    2 - enable other speculation - may yield non-LRM distribution
1454
; Currently, distribution constraints and solve-before constraints are
1455
; used in selecting the 'speculation' sets for speculation level 1. Non-LRM
1456
; compliant speculation includes random variables in condition expressions.
1457
; The default value is 0.
1458
; SolveSpeculateLevel = 0
1459
 
1460
; By default, when speculation is enabled, the solver first tries to solve a
1461
; constraint scenario *without* speculation. If the solver fails to evaluate
1462
; the constraint scenario (due to time/memory limits) then the solver will
1463
; re-evaluate the constraint scenario with speculation. If SolveSpeculateFirst
1464
; is set to 1, the solver will skip the initial non-speculative attempt to
1465
; evaluate the constraint scenario. (Only applies when SolveSpeculateLevel is
1466
; non-zero)
1467
; The default value is 0.
1468
; SolveSpeculateFirst = 0
1469
 
1470
; Specify the maximum bit width of a variable in a conditional expression that
1471
; may be considered as the basis for "conditional" speculation. (Only applies
1472
; when SolveSpeculateLevel=2)
1473
; The default value is 6.
1474
; SolveSpeculateMaxCondWidth = 6
1475
 
1476
; Specify the maximum number of attempts to solve a speculative set of random
1477
; variables and constraints. Exceeding this limit will cause the solver to
1478
; abandon the current speculative set. (Only applies when SolveSpeculateLevel
1479
; is non-zero)
1480
; The default value is 100.
1481
; SolveSpeculateMaxIterations = 100
1482
 
1483
; Specifies whether to attempt speculation on solve-before constraints or
1484
; distribution constraints first. A value of 0 specifies that solve-before
1485
; constraints are attempted first as the basis for speculative randomization.
1486
; A value of 1 specifies that distribution constraints are attempted first
1487
; as the basis for speculative randomization.
1488
; The default value is 0.
1489
; SolveSpeculateDistFirst = 0
1490
 
1491
; If the non-speculative BDD solver fails to evaluate a constraint scenario
1492
; (due to time/memory limits) then the solver can be instructed to automatically
1493
; re-evaluate the constraint scenario with the ACT solver engine. Set
1494
; SolveACTbeforeSpeculate to 1 to enable this feature.
1495
; The default value is 0 (do not re-evaluate with the ACT solver).
1496
; SolveACTbeforeSpeculate = 0
1497
 
1498
; Use SolveFlags to specify options that will guide the behavior of the
1499
; constraint solver. These options may improve the performance of the
1500
; constraint solver for some testcases, and decrease the performance of the
1501
; constraint solver for others.
1502
; Valid flags are:
1503
;    i = disable bit interleaving for >, >=, <, <= constraints (BDD engine)
1504
;    n = disable bit interleaving for all constraints (BDD engine)
1505
;    r = reverse bit interleaving (BDD engine)
1506
; The default value is "" (no options).
1507
; SolveFlags =
1508
 
1509
; Specify random sequence compatiblity with a prior letter release. This
1510
; option is used to get the same random sequences during simulation as
1511
; as a prior letter release. Only prior letter releases (of the current
1512
; number release) are allowed.
1513
; NOTE: Only those random sequence changes due to solver optimizations are
1514
; reverted by this variable. Random sequence changes due to solver bugfixes
1515
; cannot be un-done.
1516
; NOTE: This variable can be overridden with the vsim "-solverev" command
1517
; line switch.
1518
; Default value set to "" (no compatibility).
1519
; SolveRev =
1520
 
1521
; Environment variable expansion of command line arguments has been depricated
1522
; in favor shell level expansion.  Universal environment variable expansion
1523
; inside -f files is support and continued support for MGC Location Maps provide
1524
; alternative methods for handling flexible pathnames.
1525
; The following line may be uncommented and the value set to 1 to re-enable this
1526
; deprecated behavior.  The default value is 0.
1527
; DeprecatedEnvironmentVariableExpansion = 0
1528
 
1529
; Turn on/off collapsing of bus ports in VCD dumpports output
1530
DumpportsCollapse = 1
1531
 
1532
; Location of Multi-Level Verification Component (MVC) installation.
1533
; The default location is the product installation directory.
1534
; MvcHome = $MODEL_TECH/...
1535
 
1536
; Initialize SystemVerilog enums using the base type's default value
1537
; instead of the leftmost value.
1538
; EnumBaseInit = 1
1539
 
1540
[lmc]
1541
; The simulator's interface to Logic Modeling's SmartModel SWIFT software
1542
libsm = $MODEL_TECH/libsm.sl
1543
; The simulator's interface to Logic Modeling's SmartModel SWIFT software (Windows NT)
1544
; libsm = $MODEL_TECH/libsm.dll
1545
;  Logic Modeling's SmartModel SWIFT software (HP 9000 Series 700)
1546
; libswift = $LMC_HOME/lib/hp700.lib/libswift.sl
1547
;  Logic Modeling's SmartModel SWIFT software (IBM RISC System/6000)
1548
; libswift = $LMC_HOME/lib/ibmrs.lib/swift.o
1549
;  Logic Modeling's SmartModel SWIFT software (Sun4 Solaris)
1550
; libswift = $LMC_HOME/lib/sun4Solaris.lib/libswift.so
1551
;  Logic Modeling's SmartModel SWIFT software (Windows NT)
1552
; libswift = $LMC_HOME/lib/pcnt.lib/libswift.dll
1553
;  Logic Modeling's SmartModel SWIFT software (non-Enterprise versions of Linux)
1554
; libswift = $LMC_HOME/lib/x86_linux.lib/libswift.so
1555
;  Logic Modeling's SmartModel SWIFT software (Enterprise versions of Linux)
1556
; libswift = $LMC_HOME/lib/linux.lib/libswift.so
1557
 
1558
; The simulator's interface to Logic Modeling's hardware modeler SFI software
1559
libhm = $MODEL_TECH/libhm.sl
1560
; The simulator's interface to Logic Modeling's hardware modeler SFI software (Windows NT)
1561
; libhm = $MODEL_TECH/libhm.dll
1562
;  Logic Modeling's hardware modeler SFI software (HP 9000 Series 700)
1563
; libsfi = /lib/hp700/libsfi.sl
1564
;  Logic Modeling's hardware modeler SFI software (IBM RISC System/6000)
1565
; libsfi = /lib/rs6000/libsfi.a
1566
;  Logic Modeling's hardware modeler SFI software (Sun4 Solaris)
1567
; libsfi = /lib/sun4.solaris/libsfi.so
1568
;  Logic Modeling's hardware modeler SFI software (Windows NT)
1569
; libsfi = /lib/pcnt/lm_sfi.dll
1570
;  Logic Modeling's hardware modeler SFI software (Linux)
1571
; libsfi = /lib/linux/libsfi.so
1572
 
1573
[msg_system]
1574
; Change a message severity or suppress a message.
1575
; The format is:  = [,...]
1576
; suppress can be used to achieve +nowarn functionality
1577
; The format is: suppress = ,,[,,...]
1578
; Examples:
1579
;   note = 3009
1580
;   warning = 3033
1581
;   error = 3010,3016
1582
;   fatal = 3016,3033
1583
;   suppress = 3009,3016,3043
1584
;   suppress = 3009,CNNODP,3043,TFMPC
1585
;   suppress = 8683,8684
1586
; The command verror  can be used to get the complete
1587
; description of a message.
1588
 
1589
; Control transcripting of Verilog display system task messages and
1590
; PLI/FLI print function call messages.  The system tasks include
1591
; $display[bho], $strobe[bho], Smonitor{bho], and $write[bho].  They
1592
; also include the analogous file I/O tasks that write to STDOUT
1593
; (i.e. $fwrite or $fdisplay).  The PLI/FLI calls include io_printf,
1594
; vpi_printf, mti_PrintMessage, and mti_PrintFormatted.  The default
1595
; is to have messages appear only in the transcript.  The other
1596
; settings are to send messages to the wlf file only (messages that
1597
; are recorded in the wlf file can be viewed in the MsgViewer) or
1598
; to both the transcript and the wlf file.  The valid values are
1599
;    tran  {transcript only (default)}
1600
;    wlf   {wlf file only}
1601
;    both  {transcript and wlf file}
1602
; displaymsgmode = tran
1603
 
1604
; Control transcripting of elaboration/runtime messages not
1605
; addressed by the displaymsgmode setting.  The default is to
1606
; have messages appear in the transcript and recorded in the wlf
1607
; file (messages that are recorded in the wlf file can be viewed
1608
; in the MsgViewer).  The other settings are to send messages
1609
; only to the transcript or only to the wlf file.  The valid
1610
; values are
1611
;    both  {default}
1612
;    tran  {transcript only}
1613
;    wlf   {wlf file only}
1614
; msgmode = both

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.