OpenCores
URL https://opencores.org/ocsvn/vitdec/vitdec/trunk

Subversion Repositories vitdec

[/] [vitdec/] [trunk/] [dpram.v] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 yuhuang198
`timescale 1ns / 1ps
2
//////////////////////////////////////////////////////////////////////////////////
3
// Company: 
4
// Engineer: 
5
// 
6
// Create Date:    09:51:25 11/25/2010 
7
// Design Name: 
8
// Module Name:    dpram 
9
// Project Name: 
10
// Target Devices: 
11
// Tool versions: 
12
// Description: 
13
//
14
// Dependencies: 
15
//
16
// Revision: 
17
// Revision 0.01 - File Created
18
// Additional Comments: 
19
//
20
//////////////////////////////////////////////////////////////////////////////////
21
module dpram(   in,
22
                                        out,
23
                                        adr,
24
                                        adw,
25
                                        clk,
26
                                        rst,
27
                                        frame_rst,
28
                                        en);
29
 
30
parameter               data_width                      =       64;
31
parameter               ram_depth                       =       256;
32
parameter               addr_width                      =       8;
33
 
34
input           clk, rst, frame_rst, en;
35
input           [data_width-1:0] in;
36
output  [data_width-1:0] out;
37
wire            [data_width-1:0] out;
38
input           [addr_width-1:0] adr;
39
input           [addr_width-1:0] adw;
40
 
41
reg             [data_width-1:0] ram     [0:ram_depth-1];
42
 
43
assign out = ram[adr];
44
 
45
always @ (rst, en, clk, frame_rst)
46
begin
47
        if (!rst)
48
                $readmemb("rstmem.txt", ram);
49
        else if (clk && en && !frame_rst)
50
                ram[adw] = in;
51
end
52
 
53
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.