OpenCores
URL https://opencores.org/ocsvn/viterb_encoder_and_decoder/viterb_encoder_and_decoder/trunk

Subversion Repositories viterb_encoder_and_decoder

[/] [viterb_encoder_and_decoder/] [trunk/] [rtl/] [mem_1x1024.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 sandunrath
module mem_disp
2
(
3
   clk,
4
   wr,
5
   addr,
6
   d_i,
7
   d_o
8
);
9
 
10
   input          clk;
11
   input          wr;
12
   input [9:0]    addr;
13
   input          d_i;
14
   output reg     d_o;
15
 
16
   reg            mem   [1023:0];
17
 
18
 
19
   always @ (posedge clk)
20
   begin
21
      if(wr)
22
         mem[addr]   <= d_i;
23
      d_o  <=  mem[addr];
24
  end
25
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.