URL
https://opencores.org/ocsvn/viterbi_decoder_axi4s/viterbi_decoder_axi4s/trunk
Details |
Compare with Previous |
View Log
Line No. |
Rev |
Author |
Line |
1 |
2 |
mfehrenz |
--!
|
2 |
6 |
mfehrenz |
--! Copyright (C) 2011 - 2014 Creonic GmbH
|
3 |
2 |
mfehrenz |
--!
|
4 |
|
|
--! This file is part of the Creonic Viterbi Decoder, which is distributed
|
5 |
|
|
--! under the terms of the GNU General Public License version 2.
|
6 |
|
|
--!
|
7 |
|
|
--! @file
|
8 |
|
|
--! @brief Global types for the Viterbi decoder
|
9 |
|
|
--! @author Markus Fehrenz
|
10 |
|
|
--! @date 2011/07/04
|
11 |
|
|
--!
|
12 |
|
|
--! @details Most types are shared and used in different context.
|
13 |
|
|
--! Changing single types should be done with adding an additional type.
|
14 |
|
|
--!
|
15 |
|
|
|
16 |
|
|
library ieee;
|
17 |
|
|
use ieee.std_logic_1164.all;
|
18 |
|
|
use ieee.numeric_std.all;
|
19 |
|
|
|
20 |
|
|
library dec_viterbi;
|
21 |
|
|
use dec_viterbi.pkg_param.all;
|
22 |
|
|
use dec_viterbi.pkg_param_derived.all;
|
23 |
|
|
|
24 |
|
|
package pkg_types is
|
25 |
|
|
|
26 |
|
|
-- Parity structure: p1_bit, p2_bit, ..., pN_bit
|
27 |
|
|
type t_input_block is array (NUMBER_PARITY_BITS - 1 downto 0) of signed(BW_LLR_INPUT - 1 downto 0);
|
28 |
|
|
|
29 |
|
|
-- Types are used for bulk information to ACS and branch unit.
|
30 |
|
|
type t_node_s is array (NUMBER_TRELLIS_STATES - 1 downto 0) of signed(BW_MAX_PROBABILITY - 1 downto 0);
|
31 |
|
|
type t_node is array (NUMBER_TRELLIS_STATES - 1 downto 0) of std_logic_vector(BW_MAX_PROBABILITY - 1 downto 0);
|
32 |
|
|
type t_branch is array (NUMBER_BRANCH_UNITS - 1 downto 0) of std_logic_vector(BW_BRANCH_RESULT - 1 downto 0);
|
33 |
|
|
|
34 |
|
|
-- RAM Data
|
35 |
|
|
type t_ram_rd_data is array (1 downto 0) of std_logic_vector(NUMBER_TRELLIS_STATES - 1 downto 0);
|
36 |
|
|
|
37 |
|
|
end package pkg_types;
|
© copyright 1999-2024
OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.