OpenCores
URL https://opencores.org/ocsvn/vtach/vtach/trunk

Subversion Repositories vtach

[/] [vtach/] [trunk/] [ipcore_dir/] [mainclock.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 wd5gnr
////////////////////////////////////////////////////////////////////////////////
2
// Copyright (c) 1995-2011 Xilinx, Inc.  All rights reserved.
3
////////////////////////////////////////////////////////////////////////////////
4
//   ____  ____ 
5
//  /   /\/   / 
6
// /___/  \  /    Vendor: Xilinx 
7
// \   \   \/     Version : 13.1
8
//  \   \         Application : xaw2verilog
9
//  /   /         Filename : mainclock.v
10
// /___/   /\     Timestamp : 05/19/2013 01:20:09
11
// \   \  /  \ 
12
//  \___\/\___\ 
13
//
14
//Command: xaw2verilog -st /home/alw/projects/vtachspartan/ipcore_dir/./mainclock.xaw /home/alw/projects/vtachspartan/ipcore_dir/./mainclock
15
//Design Name: mainclock
16
//Device: xc3s1000-4ft256
17
//
18
// Module mainclock
19
// Generated by Xilinx Architecture Wizard
20
// Written for synthesis tool: XST
21
// Period Jitter (unit interval) for block DCM_INST = 0.03 UI
22
// Period Jitter (Peak-to-Peak) for block DCM_INST = 1.03 ns
23
`timescale 1ns / 1ps
24
 
25
module mainclock(CLKIN_IN,
26
                 RST_IN,
27
                 CLKFX_OUT,
28
                 CLKIN_IBUFG_OUT,
29
                 CLK0_OUT,
30
                 LOCKED_OUT);
31
 
32
    input CLKIN_IN;
33
    input RST_IN;
34
   output CLKFX_OUT;
35
   output CLKIN_IBUFG_OUT;
36
   output CLK0_OUT;
37
   output LOCKED_OUT;
38
 
39
   wire CLKFB_IN;
40
   wire CLKFX_BUF;
41
   wire CLKIN_IBUFG;
42
   wire CLK0_BUF;
43
   wire GND_BIT;
44
 
45
   assign GND_BIT = 0;
46
   assign CLKIN_IBUFG_OUT = CLKIN_IBUFG;
47
   assign CLK0_OUT = CLKFB_IN;
48
   BUFG  CLKFX_BUFG_INST (.I(CLKFX_BUF),
49
                         .O(CLKFX_OUT));
50
   IBUFG  CLKIN_IBUFG_INST (.I(CLKIN_IN),
51
                           .O(CLKIN_IBUFG));
52
   BUFG  CLK0_BUFG_INST (.I(CLK0_BUF),
53
                        .O(CLKFB_IN));
54
   DCM #( .CLK_FEEDBACK("1X"), .CLKDV_DIVIDE(2.0), .CLKFX_DIVIDE(4),
55
         .CLKFX_MULTIPLY(2), .CLKIN_DIVIDE_BY_2("FALSE"),
56
         .CLKIN_PERIOD(20.000), .CLKOUT_PHASE_SHIFT("NONE"),
57
         .DESKEW_ADJUST("SYSTEM_SYNCHRONOUS"), .DFS_FREQUENCY_MODE("LOW"),
58
         .DLL_FREQUENCY_MODE("LOW"), .DUTY_CYCLE_CORRECTION("TRUE"),
59
         .FACTORY_JF(16'h8080), .PHASE_SHIFT(0), .STARTUP_WAIT("FALSE") )
60
         DCM_INST (.CLKFB(CLKFB_IN),
61
                 .CLKIN(CLKIN_IBUFG),
62
                 .DSSEN(GND_BIT),
63
                 .PSCLK(GND_BIT),
64
                 .PSEN(GND_BIT),
65
                 .PSINCDEC(GND_BIT),
66
                 .RST(RST_IN),
67
                 .CLKDV(),
68
                 .CLKFX(CLKFX_BUF),
69
                 .CLKFX180(),
70
                 .CLK0(CLK0_BUF),
71
                 .CLK2X(),
72
                 .CLK2X180(),
73
                 .CLK90(),
74
                 .CLK180(),
75
                 .CLK270(),
76
                 .LOCKED(LOCKED_OUT),
77
                 .PSDONE(),
78
                 .STATUS());
79
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.