OpenCores
URL https://opencores.org/ocsvn/vtach/vtach/trunk

Subversion Repositories vtach

[/] [vtach/] [trunk/] [planAhead_run_1/] [planAhead.log] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 wd5gnr
#-----------------------------------------------------------
2
# PlanAhead v13.2 (64-bit)
3
# Build 131561 by hdbuild on Thu Jun 16 16:53:07 PDT 2011
4
# Start of session at: Thu May 23 23:58:43 2013
5
# Process ID: 25392
6
# Log file: /home/alw/projects/vtachspartan/planAhead_run_1/planAhead.log
7
# Journal file: /home/alw/projects/vtachspartan/planAhead_run_1/planAhead.jou
8
#-----------------------------------------------------------
9
INFO: [Common-78] Attempting to get a license: PlanAhead
10
INFO: [Common-82] Got a license: PlanAhead
11
INFO: [Common-86] Your PlanAhead license expires in -418 day(s)
12
INFO: [ArchReader-0] Loading parts and site information from /opt/Xilinx/13.2/ISE_DS/PlanAhead/parts/arch.xml
13
Parsing RTL primitives file [/opt/Xilinx/13.2/ISE_DS/PlanAhead/parts/xilinx/rtl/prims/rtl_prims.xml]
14
Finished parsing RTL primitives file [/opt/Xilinx/13.2/ISE_DS/PlanAhead/parts/xilinx/rtl/prims/rtl_prims.xml]
15
start_gui
16
source /home/alw/projects/vtachspartan/pa.fromHdl.tcl
17
# create_project -name vtachspartan -dir "/home/alw/projects/vtachspartan/planAhead_run_1" -part xc3s1000ft256-4
18
Parsing template File [/opt/Xilinx/13.2/ISE_DS/ISE/data/projnav/templates/verilog.xml].
19
Finished parsing template File [/opt/Xilinx/13.2/ISE_DS/ISE/data/projnav/templates/verilog.xml].
20
Parsing template File [/opt/Xilinx/13.2/ISE_DS/ISE/data/projnav/templates/vhdl.xml].
21
Finished parsing template File [/opt/Xilinx/13.2/ISE_DS/ISE/data/projnav/templates/vhdl.xml].
22
Parsing template File [/opt/Xilinx/13.2/ISE_DS/ISE/data/projnav/templates/ucf.xml].
23
Finished parsing template File [/opt/Xilinx/13.2/ISE_DS/ISE/data/projnav/templates/ucf.xml].
24
# set_param project.pinAheadLayout yes
25
# set srcset [get_property srcset [current_run -impl]]
26
# set_property top top $srcset
27
# set_param project.paUcfFile  "vtach.ucf"
28
# add_files [list {ipcore_dir/mainmem.ngc}]
29
# set hdlfile [add_files [list {digitadd.v}]]
30
# set_property file_type Verilog $hdlfile
31
# set_property library work $hdlfile
32
# set hdlfile [add_files [list {bcdincr.v}]]
33
# set_property file_type Verilog $hdlfile
34
# set_property library work $hdlfile
35
# set hdlfile [add_files [list {usum.v}]]
36
# set_property file_type Verilog $hdlfile
37
# set_property library work $hdlfile
38
# set hdlfile [add_files [list {display.v}]]
39
# set_property file_type Verilog $hdlfile
40
# set_property library work $hdlfile
41
# set hdlfile [add_files [list {bcdneg.v}]]
42
# set_property file_type Verilog $hdlfile
43
# set_property library work $hdlfile
44
# set hdlfile [add_files [list {ipcore_dir/mainmem.v}]]
45
# set_property file_type Verilog $hdlfile
46
# set_property library work $hdlfile
47
# set hdlfile [add_files [list {io_output.v}]]
48
# set_property file_type Verilog $hdlfile
49
# set_property library work $hdlfile
50
# set hdlfile [add_files [list {io_input.v}]]
51
# set_property file_type Verilog $hdlfile
52
# set_property library work $hdlfile
53
# set hdlfile [add_files [list {debounce.v}]]
54
# set_property file_type Verilog $hdlfile
55
# set_property library work $hdlfile
56
# set hdlfile [add_files [list {bcdadd.v}]]
57
# set_property file_type Verilog $hdlfile
58
# set_property library work $hdlfile
59
# set hdlfile [add_files [list {memory.v}]]
60
# set_property file_type Verilog $hdlfile
61
# set_property library work $hdlfile
62
# set hdlfile [add_files [list {mainclock.v}]]
63
# set_property file_type Verilog $hdlfile
64
# set_property library work $hdlfile
65
# set hdlfile [add_files [list {alu.v}]]
66
# set_property file_type Verilog $hdlfile
67
# set_property library work $hdlfile
68
# set hdlfile [add_files [list {vtach.v}]]
69
# set_property file_type Verilog $hdlfile
70
# set_property library work $hdlfile
71
# add_files "vtach.ucf" -fileset [get_property constrset [current_run]]
72
# add_files "ipcore_dir/mainmem.ncf" -fileset [get_property constrset [current_run]]
73
# open_rtl_design -part xc3s1000ft256-4
74
INFO: [PlanAhead-58] Using Verific elaboration
75
Parsing VHDL file "/opt/Xilinx/13.2/ISE_DS/PlanAhead/parts/xilinx/rtl/lib/synplify/synattr.vhd" into library synplify
76
Parsing package .
77
Analyzing Verilog file "/home/alw/projects/vtachspartan/digitadd.v" into library work
78
Analyzing Verilog file "/home/alw/projects/vtachspartan/bcdincr.v" into library work
79
Analyzing Verilog file "/home/alw/projects/vtachspartan/usum.v" into library work
80
Analyzing Verilog file "/home/alw/projects/vtachspartan/display.v" into library work
81
Analyzing Verilog file "/home/alw/projects/vtachspartan/bcdneg.v" into library work
82
Analyzing Verilog file "/home/alw/projects/vtachspartan/ipcore_dir/mainmem.v" into library work
83
Analyzing Verilog file "/home/alw/projects/vtachspartan/io_output.v" into library work
84
Analyzing Verilog file "/home/alw/projects/vtachspartan/io_input.v" into library work
85
Analyzing Verilog file "/home/alw/projects/vtachspartan/debounce.v" into library work
86
Analyzing Verilog file "/home/alw/projects/vtachspartan/bcdadd.v" into library work
87
Analyzing Verilog file "/home/alw/projects/vtachspartan/memory.v" into library work
88
Analyzing Verilog file "/home/alw/projects/vtachspartan/mainclock.v" into library work
89
Analyzing Verilog file "/home/alw/projects/vtachspartan/alu.v" into library work
90
Analyzing Verilog file "/home/alw/projects/vtachspartan/vtach.v" into library work
91
WARNING: [HDL-1016] Port RST_IN is not connected to this instance [/home/alw/projects/vtachspartan/vtach.v:68]
92
WARNING: [HDL-1499] Empty module  remains a black box. [/home/alw/projects/vtachspartan/ipcore_dir/mainmem.v:39]
93
WARNING: [HDL-189] Size mismatch in connection of port . Formal port size is 17-bit while actual signal size is 8-bit. [/home/alw/projects/vtachspartan/vtach.v:48]
94
WARNING: [HDL-413] Result of 32-bit expression is truncated to fit in 2-bit target. [/home/alw/projects/vtachspartan/display.v:56]
95
WARNING: [HDL-413] Result of 25-bit expression is truncated to fit in 24-bit target. [/home/alw/projects/vtachspartan/display.v:59]
96
WARNING: [HDL-413] Result of 32-bit expression is truncated to fit in 19-bit target. [/home/alw/projects/vtachspartan/debounce.v:54]
97
WARNING: [HDL-189] Size mismatch in connection of port . Formal port size is 17-bit while actual signal size is 16-bit. [/home/alw/projects/vtachspartan/bcdneg.v:11]
98
WARNING: [HDL-189] Size mismatch in connection of port . Formal port size is 17-bit while actual signal size is 12-bit. [/home/alw/projects/vtachspartan/bcdneg.v:19]
99
WARNING: [HDL-189] Size mismatch in connection of port . Formal port size is 5-bit while actual signal size is 4-bit. [/home/alw/projects/vtachspartan/vtach.v:50]
100
WARNING: [HDL-413] Result of 13-bit expression is truncated to fit in 12-bit target. [/home/alw/projects/vtachspartan/vtach.v:99]
101
WARNING: [HDL-552] Input port RST_IN is not connected on this instance [/home/alw/projects/vtachspartan/vtach.v:68]
102
Removing all analyzed parse trees
103
Release 13.2 - ngc2edif O.61xd (lin64)
104
Copyright (c) 1995-2011 Xilinx, Inc.  All rights reserved.
105
 
106
Release 13.2 - ngc2edif O.61xd (lin64)
107
Copyright (c) 1995-2011 Xilinx, Inc.  All rights reserved.
108
Reading design mainmem.ngc ...
109
WARNING:NetListWriters:298 - No output is written to mainmem.xncf, ignored.
110
Processing design ...
111
   Preping design's networks ...
112
   Preping design's macros ...
113
  finished :Prep
114
Writing EDIF netlist file mainmem.edif ...
115
ngc2edif: Total memory usage is 78260 kilobytes
116
 
117
Reading core file '/home/alw/projects/vtachspartan/ipcore_dir/mainmem.ngc' for (cell view 'mainmem', library 'work', file 'mainmem.v')
118
Parsing EDIF File [./.Xil-PlanAhead-25392-enterprise/ngc2edif/mainmem.edif]
119
Finished Parsing EDIF File [./.Xil-PlanAhead-25392-enterprise/ngc2edif/mainmem.edif]
120
INFO: [ArchReader-18] Reading macro library /opt/Xilinx/13.2/ISE_DS/PlanAhead/./parts/xilinx/spartan3/hd_int_macros.edn
121
Parsing EDIF File [/opt/Xilinx/13.2/ISE_DS/PlanAhead/./parts/xilinx/spartan3/hd_int_macros.edn]
122
Finished Parsing EDIF File [/opt/Xilinx/13.2/ISE_DS/PlanAhead/./parts/xilinx/spartan3/hd_int_macros.edn]
123
INFO: [ArchReader-7] Loading clock regions from /opt/Xilinx/13.2/ISE_DS/PlanAhead/parts/xilinx/spartan3/spartan3/xc3s1000/ClockRegion.xml
124
INFO: [ArchReader-8] Loading clock buffers from /opt/Xilinx/13.2/ISE_DS/PlanAhead/parts/xilinx/spartan3/spartan3/xc3s1000/ClockBuffers.xml
125
INFO: [ArchReader-3] Loading package from /opt/Xilinx/13.2/ISE_DS/PlanAhead/parts/xilinx/spartan3/spartan3/xc3s1000/ft256/Package.xml
126
INFO: [ArchReader-4] Loading io standards from /opt/Xilinx/13.2/ISE_DS/PlanAhead/./parts/xilinx/spartan3/IOStandards.xml
127
INFO: [ArchReader-5] Loading pkg sso from /opt/Xilinx/13.2/ISE_DS/PlanAhead/parts/xilinx/spartan3/spartan3/xc3s1000/ft256/SSORules.xml
128
INFO: [GDRC-0] Loading list of drcs for the architecture : /opt/Xilinx/13.2/ISE_DS/PlanAhead/./parts/xilinx/spartan3/drc.xml
129
INFO: [LIB-0] Reading timing library /opt/Xilinx/13.2/ISE_DS/PlanAhead/parts/xilinx/spartan3/spartan3/spartan3-4.lib .
130
INFO: [LIB-1] Done reading timing library /opt/Xilinx/13.2/ISE_DS/PlanAhead/parts/xilinx/spartan3/spartan3/spartan3-4.lib .
131
Parsing UCF File [/home/alw/projects/vtachspartan/ipcore_dir/mainmem.ncf]
132
Finished Parsing UCF File [/home/alw/projects/vtachspartan/ipcore_dir/mainmem.ncf]
133
Parsing UCF File [/home/alw/projects/vtachspartan/vtach.ucf]
134
Finished Parsing UCF File [/home/alw/projects/vtachspartan/vtach.ucf]
135
INFO: [Project-5] Unisim Transformation Summary:
136
No Unisim elements were transformed.
137
open_rtl_design: Time (s): 7.460u 0.250s 5.930w. Memory (MB): 4461.445p 53.234g
138
startgroup
139
set_property IOSTANDARD {} [get_ports [list {led[7]} {led[6]} {led[5]} {led[4]} {led[3]} {led[2]} {led[1]} {led[0]}]]
140
endgroup
141
set_property SLEW FAST [get_ports [list {led[7]} {led[6]} {led[5]} {led[4]} {led[3]} {led[2]} {led[1]} {led[0]}]]
142
set_property SLEW FAST [get_ports [list {sw[7]} {sw[6]} {sw[5]} {sw[4]} {sw[3]} {sw[2]} {sw[1]} {sw[0]}]]
143
set_property SLEW FAST [get_ports [list ds0]]
144
set_property SLEW FAST [get_ports [list ds1]]
145
set_property SLEW FAST [get_ports [list ds2]]
146
set_property SLEW FAST [get_ports [list ds3]]
147
set_property SLEW FAST [get_ports [list segA]]
148
set_property SLEW FAST [get_ports [list segB]]
149
set_property SLEW FAST [get_ports [list segC]]
150
set_property SLEW FAST [get_ports [list segD]]
151
set_property SLEW FAST [get_ports [list segE]]
152
set_property SLEW FAST [get_ports [list segF]]
153
set_property SLEW FAST [get_ports [list segG]]
154
save_design
155
exit
156
stop_gui
157
INFO: [PlanAhead-261] Exiting PlanAhead...
158
INFO: [Common-83] Releasing license: PlanAhead

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.