OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.5/] [rtl/] [bplib/] [nexys2/] [nexys2lib.vhd] - Blame information for rev 25

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 wfjm
-- $Id: nexys2lib.vhd 314 2010-07-09 17:38:41Z mueller $
2
--
3
-- Copyright 2010- by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Package Name:   nexys2lib
16
-- Description:    Nexys 2 components
17
-- 
18
-- Dependencies:   -
19
-- Tool versions:  xst 11.4; ghdl 0.26
20
-- Revision History: 
21
-- Date         Rev Version  Comment
22
-- 2010-05-28   295   1.0.3  use _ADV_N also for n2_cram_dummy
23
-- 2010-05-23   294   1.0.2  add n2_cram_dummy;
24
-- 2010-05-23   293   1.0.1  use _ADV_N rather _ADV; add generic for memctl
25
-- 2010-05-21   292   1.0    Initial version 
26
------------------------------------------------------------------------------
27
 
28
library ieee;
29
use ieee.std_logic_1164.all;
30
 
31
use work.slvtypes.all;
32
 
33
package nexys2lib is
34
 
35
component nexys2_aif is                 -- NEXYS 2, abstract iface, base
36
  port (
37
    CLK : in slbit;                     -- clock
38
    I_RXD : in slbit;                   -- receive data (board view)
39
    O_TXD : out slbit;                  -- transmit data (board view)
40
    I_SWI : in slv8;                    -- s3 switches
41
    I_BTN : in slv4;                    -- s3 buttons
42
    O_LED : out slv8;                   -- s3 leds
43
    O_ANO_N : out slv4;                 -- 7 segment disp: anodes   (act.low)
44
    O_SEG_N : out slv8;                 -- 7 segment disp: segments (act.low)
45
    O_MEM_CE_N : out slbit;             -- cram: chip enable   (act.low)
46
    O_MEM_BE_N : out slv2;              -- cram: byte enables  (act.low)
47
    O_MEM_WE_N : out slbit;             -- cram: write enable  (act.low)
48
    O_MEM_OE_N : out slbit;             -- cram: output enable (act.low)
49
    O_MEM_ADV_N  : out slbit;           -- cram: address valid (act.low)
50
    O_MEM_CLK : out slbit;              -- cram: clock
51
    O_MEM_CRE : out slbit;              -- cram: command register enable
52
    I_MEM_WAIT : in slbit;              -- cram: mem wait
53
    O_FLA_CE_N : out slbit;               -- flash ce..        (act.low)
54
    O_MEM_ADDR  : out slv23;            -- cram: address lines
55
    IO_MEM_DATA : inout slv16           -- cram: data lines
56
  );
57
end component;
58
 
59
component nexys2_fusp_aif is           -- NEXYS 2, abstract iface, base+fusp
60
  port (
61
    CLK : in slbit;                     -- clock
62
    I_RXD : in slbit;                   -- receive data (board view)
63
    O_TXD : out slbit;                  -- transmit data (board view)
64
    I_SWI : in slv8;                    -- s3 switches
65
    I_BTN : in slv4;                    -- s3 buttons
66
    O_LED : out slv8;                   -- s3 leds
67
    O_ANO_N : out slv4;                 -- 7 segment disp: anodes   (act.low)
68
    O_SEG_N : out slv8;                 -- 7 segment disp: segments (act.low)
69
    O_MEM_CE_N : out slbit;             -- cram: chip enable   (act.low)
70
    O_MEM_BE_N : out slv2;              -- cram: byte enables  (act.low)
71
    O_MEM_WE_N : out slbit;             -- cram: write enable  (act.low)
72
    O_MEM_OE_N : out slbit;             -- cram: output enable (act.low)
73
    O_MEM_ADV_N  : out slbit;           -- cram: address valid (act.low)
74
    O_MEM_CLK : out slbit;              -- cram: clock
75
    O_MEM_CRE : out slbit;              -- cram: command register enable
76
    I_MEM_WAIT : in slbit;              -- cram: mem wait
77
    O_FLA_CE_N : out slbit;             -- flash ce..          (act.low)
78
    O_MEM_ADDR  : out slv23;            -- cram: address lines
79
    IO_MEM_DATA : inout slv16;          -- cram: data lines
80
    O_FUSP_RTS_N : out slbit;           -- fusp: rs232 rts_n
81
    I_FUSP_CTS_N : in slbit;            -- fusp: rs232 cts_n
82
    I_FUSP_RXD : in slbit;              -- fusp: rs232 rx
83
    O_FUSP_TXD : out slbit              -- fusp: rs232 tx
84
  );
85
end component;
86
 
87
component n2_cram_dummy is              -- CRAM protection dummy 
88
  port (
89
    O_MEM_CE_N : out slbit;             -- cram: chip enable   (act.low)
90
    O_MEM_BE_N : out slv2;              -- cram: byte enables  (act.low)
91
    O_MEM_WE_N : out slbit;             -- cram: write enable  (act.low)
92
    O_MEM_OE_N : out slbit;             -- cram: output enable (act.low)
93
    O_MEM_ADV_N  : out slbit;           -- cram: address valid (act.low)
94
    O_MEM_CLK : out slbit;              -- cram: clock
95
    O_MEM_CRE : out slbit;              -- cram: command register enable
96
    I_MEM_WAIT : in slbit;              -- cram: mem wait
97
    O_FLA_CE_N : out slbit;             -- flash ce..          (act.low)
98
    O_MEM_ADDR  : out slv23;            -- cram: address lines
99
    IO_MEM_DATA : inout slv16           -- cram: data lines
100
  );
101
end component;
102
 
103
component n2_cram_memctl_as is          -- CRAM driver (async+page mode)
104
  generic (
105
    READ0DELAY : positive := 2;         -- read word 0 delay in clock cycles
106
    READ1DELAY : positive := 2;         -- read word 1 delay in clock cycles
107
    WRITEDELAY : positive := 3);        -- write delay in clock cycles
108
  port (
109
    CLK : in slbit;                     -- clock
110
    RESET : in slbit;                   -- reset
111
    REQ   : in slbit;                   -- request
112
    WE    : in slbit;                   -- write enable
113
    BUSY : out slbit;                   -- controller busy
114
    ACK_R : out slbit;                  -- acknowledge read
115
    ACK_W : out slbit;                  -- acknowledge write
116
    ACT_R : out slbit;                  -- signal active read
117
    ACT_W : out slbit;                  -- signal active write
118
    ADDR : in slv22;                    -- address (32 bit word address)
119
    BE : in slv4;                       -- byte enable
120
    DI : in slv32;                      -- data in  (memory view)
121
    DO : out slv32;                     -- data out (memory view)
122
    O_MEM_CE_N : out slbit;             -- cram: chip enable   (act.low)
123
    O_MEM_BE_N : out slv2;              -- cram: byte enables  (act.low)
124
    O_MEM_WE_N : out slbit;             -- cram: write enable  (act.low)
125
    O_MEM_OE_N : out slbit;             -- cram: output enable (act.low)
126
    O_MEM_ADV_N : out slbit;            -- cram: address valid (act.low)
127
    O_MEM_CLK : out slbit;              -- cram: clock
128
    O_MEM_CRE : out slbit;              -- cram: command register enable
129
    I_MEM_WAIT : in slbit;              -- cram: mem wait
130
    O_FLA_CE_N : out slbit;             -- flash ce..          (act.low)
131
    O_MEM_ADDR  : out slv23;            -- cram: address lines
132
    IO_MEM_DATA : inout slv16           -- cram: data lines
133
  );
134
end component;
135
 
136
end nexys2lib;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.