OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.5/] [rtl/] [bplib/] [s3board/] [s3_rs232_iob_ext.vhd] - Blame information for rev 25

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 wfjm
-- $Id: s3_rs232_iob_ext.vhd 314 2010-07-09 17:38:41Z mueller $
2
--
3
-- Copyright 2010- by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Module Name:    s3_rs232_iob_ext - syn
16
-- Description:    iob's for external rs232 (PMod)
17
--
18
-- Dependencies:   xlib/iob_reg_i
19
--                 xlib/iob_reg_o
20
--
21
-- Test bench:     -
22
--
23
-- Target Devices: generic
24
-- Tool versions:  xst 11.4; ghdl 0.26
25
--
26
-- Revision History: 
27
-- Date         Rev Version  Comment
28
-- 2010-04-17   278   1.0    Initial version
29
------------------------------------------------------------------------------
30
--    
31
 
32
library ieee;
33
use ieee.std_logic_1164.all;
34
use ieee.std_logic_arith.all;
35
 
36
use work.slvtypes.all;
37
use work.xlib.all;
38
 
39
-- ----------------------------------------------------------------------------
40
 
41
entity s3_rs232_iob_ext is              -- iob's for external rs232 (PMod)
42
  port (
43
    CLK : in slbit;                     -- clock
44
    RXD : out slbit;                    -- receive data (board view)
45
    TXD : in slbit;                     -- transmit data (board view)
46
    CTS_N : out slbit;                  -- clear to send   (act. low)
47
    RTS_N : in slbit;                   -- request to send (act. low)
48
    I_RXD : in slbit;                   -- pad-i: receive data (board view)
49
    O_TXD : out slbit;                  -- pad-o: transmit data (board view)
50
    I_CTS_N : in slbit;                 -- pad-i: clear to send   (act. low)
51
    O_RTS_N : out slbit                 -- pad-o: request to send (act. low)
52
  );
53
end s3_rs232_iob_ext;
54
 
55
architecture syn of s3_rs232_iob_ext is
56
begin
57
 
58
  IOB_RXD : iob_reg_i                  -- line idle=1, so init sync flop =1
59
    generic map (INIT => '1')
60
    port map (CLK => CLK, CE => '1', DI => RXD,   PAD => I_RXD);
61
 
62
  IOB_TXD : iob_reg_o                  -- line idle=1, so init sync flop =1
63
    generic map (INIT => '1')
64
    port map (CLK => CLK, CE => '1', DO => TXD,   PAD => O_TXD);
65
 
66
  IOB_CTS : iob_reg_i
67
    port map (CLK => CLK, CE => '1', DI => CTS_N, PAD => I_CTS_N);
68
 
69
  IOB_RTS : iob_reg_o
70
    port map (CLK => CLK, CE => '1', DO => RTS_N, PAD => O_RTS_N);
71
 
72
end syn;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.