OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.5/] [rtl/] [bplib/] [s3board/] [s3_rs232_iob_int.vhd] - Blame information for rev 7

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 wfjm
-- $Id: s3_rs232_iob_int.vhd 314 2010-07-09 17:38:41Z mueller $
2
--
3
-- Copyright 2010- by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Module Name:    s3_rs232_iob_int - syn
16
-- Description:    iob's for internal rs232
17
--
18
-- Dependencies:   xlib/iob_reg_i
19
--                 xlib/iob_reg_o
20
--
21
-- Test bench:     -
22
--
23
-- Target Devices: generic
24
-- Tool versions:  xst 11.4; ghdl 0.26
25
--
26
-- Revision History: 
27
-- Date         Rev Version  Comment
28
-- 2010-04-17   278   1.0    Initial version
29
------------------------------------------------------------------------------
30
--    
31
 
32
library ieee;
33
use ieee.std_logic_1164.all;
34
use ieee.std_logic_arith.all;
35
 
36
use work.slvtypes.all;
37
use work.xlib.all;
38
 
39
-- ----------------------------------------------------------------------------
40
 
41
entity s3_rs232_iob_int is              -- iob's for internal rs232
42
  port (
43
    CLK : in slbit;                     -- clock
44
    RXD : out slbit;                    -- receive data (board view)
45
    TXD : in slbit;                     -- transmit data (board view)
46
    I_RXD : in slbit;                   -- pad-i: receive data (board view)
47
    O_TXD : out slbit                   -- pad-o: transmit data (board view)
48
  );
49
end s3_rs232_iob_int;
50
 
51
architecture syn of s3_rs232_iob_int is
52
begin
53
 
54
  IOB_RXD : iob_reg_i                   -- line idle=1, so init sync flop =1
55
    generic map (INIT => '1')
56
    port map (CLK => CLK, CE => '1', DI => RXD, PAD => I_RXD);
57
 
58
  IOB_TXD : iob_reg_o                   -- line idle=1, so init sync flop =1
59
    generic map (INIT => '1')
60
    port map (CLK => CLK, CE => '1', DO => TXD, PAD => O_TXD);
61
 
62
end syn;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.