OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.5/] [rtl/] [bplib/] [s3board/] [s3boardlib.vhd] - Blame information for rev 16

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 wfjm
-- $Id: s3boardlib.vhd 314 2010-07-09 17:38:41Z mueller $
2
--
3
-- Copyright 2007-2010 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Package Name:   s3boardlib
16
-- Description:    S3BOARD components
17
-- 
18
-- Dependencies:   -
19
-- Tool versions:  xst 8.1, 8.2, 9.1, 9.2, 11.4; ghdl 0.18-0.26
20
-- Revision History: 
21
-- Date         Rev Version  Comment
22
-- 2010-06-03   300   1.3    add s3_humanio_rri (now needs rrilib)
23
-- 2010-05-21   292   1.2.2  rename _PM1_ -> _FUSP_
24
-- 2010-05-16   291   1.2.1  rename memctl_s3sram -> s3_sram_memctl; _usp->_fusp
25
-- 2010-05-01   286   1.2    added s3board_usp_aif (base+pm1_rs232)
26
-- 2010-04-17   278   1.1.6  rename, prefix dispdrv,sram_summy with s3_;
27
--                           add s3_rs232_iob_(int|ext|int_ext)
28
-- 2010-04-11   276   1.1.5  add DEBOUNCE for s3_humanio
29
-- 2010-04-10   275   1.1.4  add s3_humanio
30
-- 2008-02-17   117   1.1.3  memctl_s3sram: use req,we interface
31
-- 2008-01-20   113   1.1.2  rename memdrv -> memctl_s3sram
32
-- 2007-12-16   101   1.1.1  use _N for active low
33
-- 2007-12-09   100   1.1    add sram memory signals; sram_dummy; memdrv
34
-- 2007-09-23    84   1.0    Initial version 
35
------------------------------------------------------------------------------
36
 
37
library ieee;
38
use ieee.std_logic_1164.all;
39
use ieee.std_logic_arith.all;
40
 
41
use work.slvtypes.all;
42
use work.rrilib.all;
43
 
44
package s3boardlib is
45
 
46
component s3board_aif is                -- S3BOARD, abstract iface, base
47
  port (
48
    CLK : in slbit;                     -- clock
49
    I_RXD : in slbit;                   -- receive data (board view)
50
    O_TXD : out slbit;                  -- transmit data (board view)
51
    I_SWI : in slv8;                    -- s3 switches
52
    I_BTN : in slv4;                    -- s3 buttons
53
    O_LED : out slv8;                   -- s3 leds
54
    O_ANO_N : out slv4;                 -- 7 segment disp: anodes   (act.low)
55
    O_SEG_N : out slv8;                 -- 7 segment disp: segments (act.low)
56
    O_MEM_CE_N : out slv2;              -- sram: chip enables  (act.low)
57
    O_MEM_BE_N : out slv4;              -- sram: byte enables  (act.low)
58
    O_MEM_WE_N : out slbit;             -- sram: write enable  (act.low)
59
    O_MEM_OE_N : out slbit;             -- sram: output enable (act.low)
60
    O_MEM_ADDR  : out slv18;            -- sram: address lines
61
    IO_MEM_DATA : inout slv32           -- sram: data lines
62
  );
63
end component;
64
 
65
component s3board_fusp_aif is           -- S3BOARD, abstract iface, base+fusp
66
  port (
67
    CLK : in slbit;                     -- clock
68
    I_RXD : in slbit;                   -- receive data (board view)
69
    O_TXD : out slbit;                  -- transmit data (board view)
70
    I_SWI : in slv8;                    -- s3 switches
71
    I_BTN : in slv4;                    -- s3 buttons
72
    O_LED : out slv8;                   -- s3 leds
73
    O_ANO_N : out slv4;                 -- 7 segment disp: anodes   (act.low)
74
    O_SEG_N : out slv8;                 -- 7 segment disp: segments (act.low)
75
    O_MEM_CE_N : out slv2;              -- sram: chip enables  (act.low)
76
    O_MEM_BE_N : out slv4;              -- sram: byte enables  (act.low)
77
    O_MEM_WE_N : out slbit;             -- sram: write enable  (act.low)
78
    O_MEM_OE_N : out slbit;             -- sram: output enable (act.low)
79
    O_MEM_ADDR  : out slv18;            -- sram: address lines
80
    IO_MEM_DATA : inout slv32;          -- sram: data lines
81
    O_FUSP_RTS_N : out slbit;           -- fusp: rs232 rts_n
82
    I_FUSP_CTS_N : in slbit;            -- fusp: rs232 cts_n
83
    I_FUSP_RXD : in slbit;              -- fusp: rs232 rx
84
    O_FUSP_TXD : out slbit              -- fusp: rs232 tx
85
  );
86
end component;
87
 
88
component s3_dispdrv is                 -- 7 segment display driver
89
  generic (
90
    CDWIDTH : positive := 6);           -- clk divider width (must be >= 5)
91
  port (
92
    CLK : in slbit;                     -- clock
93
    DIN : in slv16;                     -- data
94
    DP : in slv4;                       -- decimal points
95
    ANO_N : out slv4;                   -- anodes    (act.low)
96
    SEG_N : out slv8                    -- segements (act.low)
97
  );
98
end component;
99
 
100
component s3_humanio is                 -- human i/o handling: swi,btn,led,dsp
101
  generic (
102
    DEBOUNCE : boolean := true);        -- instantiate debouncer for SWI,BTN
103
  port (
104
    CLK : in slbit;                     -- clock
105
    RESET : in slbit;                   -- reset
106
    CE_MSEC : in slbit;                 -- 1 ms clock enable
107
    SWI : out slv8;                     -- switch settings, debounced
108
    BTN : out slv4;                     -- button settings, debounced
109
    LED : in slv8;                      -- led data
110
    DSP_DAT : in slv16;                 -- display data
111
    DSP_DP : in slv4;                   -- display decimal points
112
    I_SWI : in slv8;                    -- pad-i: switches
113
    I_BTN : in slv4;                    -- pad-i: buttons
114
    O_LED : out slv8;                   -- pad-o: leds
115
    O_ANO_N : out slv4;                 -- pad-o: 7 seg disp: anodes   (act.low)
116
    O_SEG_N : out slv8                  -- pad-o: 7 seg disp: segments (act.low)
117
  );
118
end component;
119
 
120
component s3_humanio_rri is             -- human i/o handling with rri intercept
121
  generic (
122
    DEBOUNCE : boolean := true;         -- instantiate debouncer for SWI,BTN
123
    RB_ADDR : slv8 := conv_std_logic_vector(2#10000000#,8));
124
  port (
125
    CLK : in slbit;                     -- clock
126
    RESET : in slbit;                   -- reset
127
    CE_MSEC : in slbit;                 -- 1 ms clock enable
128
    RB_MREQ : in rb_mreq_type;          -- rbus: request
129
    RB_SRES : out rb_sres_type;         -- rbus: response
130
    SWI : out slv8;                     -- switch settings, debounced
131
    BTN : out slv4;                     -- button settings, debounced
132
    LED : in slv8;                      -- led data
133
    DSP_DAT : in slv16;                 -- display data
134
    DSP_DP : in slv4;                   -- display decimal points
135
    I_SWI : in slv8;                    -- pad-i: switches
136
    I_BTN : in slv4;                    -- pad-i: buttons
137
    O_LED : out slv8;                   -- pad-o: leds
138
    O_ANO_N : out slv4;                 -- pad-o: 7 seg disp: anodes   (act.low)
139
    O_SEG_N : out slv8                  -- pad-o: 7 seg disp: segments (act.low)
140
  );
141
end component;
142
 
143
component s3_rs232_iob_int is           -- iob's for internal rs232
144
  port (
145
    CLK : in slbit;                     -- clock
146
    RXD : out slbit;                    -- receive data (board view)
147
    TXD : in slbit;                     -- transmit data (board view)
148
    I_RXD : in slbit;                   -- pad-i: receive data (board view)
149
    O_TXD : out slbit                   -- pad-o: transmit data (board view)
150
  );
151
end component;
152
 
153
component s3_rs232_iob_ext is           -- iob's for external rs232 (Pmod)
154
  port (
155
    CLK : in slbit;                     -- clock
156
    RXD : out slbit;                    -- receive data (board view)
157
    TXD : in slbit;                     -- transmit data (board view)
158
    CTS_N : out slbit;                  -- clear to send   (act. low)
159
    RTS_N : in slbit;                   -- request to send (act. low)
160
    I_RXD : in slbit;                   -- pad-i: receive data (board view)
161
    O_TXD : out slbit;                  -- pad-o: transmit data (board view)
162
    I_CTS_N : in slbit;                 -- pad-i: clear to send   (act. low)
163
    O_RTS_N : out slbit                 -- pad-o: request to send (act. low)
164
  );
165
end component;
166
 
167
component s3_rs232_iob_int_ext is       -- iob's for int+ext rs232, with select
168
  port (
169
    CLK : in slbit;                     -- clock
170
    SEL : in slbit;                     -- select, '0' for port 0
171
    RXD : out slbit;                    -- receive data (board view)
172
    TXD : in slbit;                     -- transmit data (board view)
173
    CTS_N : out slbit;                  -- clear to send   (act. low)
174
    RTS_N : in slbit;                   -- request to send (act. low)
175
    I_RXD0 : in slbit;                  -- pad-i: p0: receive data (board view)
176
    O_TXD0 : out slbit;                 -- pad-o: p0: transmit data (board view)
177
    I_RXD1 : in slbit;                  -- pad-i: p1: receive data (board view)
178
    O_TXD1 : out slbit;                 -- pad-o: p1: transmit data (board view)
179
    I_CTS1_N : in slbit;                -- pad-i: p1: clear to send   (act. low)
180
    O_RTS1_N : out slbit                -- pad-o: p1: request to send (act. low)
181
  );
182
end component;
183
 
184
component s3_sram_dummy is              -- SRAM protection dummy 
185
  port (
186
    O_MEM_CE_N : out slv2;              -- sram: chip enables  (act.low)
187
    O_MEM_BE_N : out slv4;              -- sram: byte enables  (act.low)
188
    O_MEM_WE_N : out slbit;             -- sram: write enable  (act.low)
189
    O_MEM_OE_N : out slbit;             -- sram: output enable (act.low)
190
    O_MEM_ADDR  : out slv18;            -- sram: address lines
191
    IO_MEM_DATA : inout slv32           -- sram: data lines
192
  );
193
end component;
194
 
195
component s3_sram_memctl is             -- SRAM driver
196
  port (
197
    CLK : in slbit;                     -- clock
198
    RESET : in slbit;                   -- reset
199
    REQ   : in slbit;                   -- request
200
    WE    : in slbit;                   -- write enable
201
    BUSY : out slbit;                   -- controller busy
202
    ACK_R : out slbit;                  -- acknowledge read
203
    ACK_W : out slbit;                  -- acknowledge write
204
    ACT_R : out slbit;                  -- signal active read
205
    ACT_W : out slbit;                  -- signal active write
206
    ADDR : in slv18;                    -- address
207
    BE : in slv4;                       -- byte enable
208
    DI : in slv32;                      -- data in  (memory view)
209
    DO : out slv32;                     -- data out (memory view)
210
    O_MEM_CE_N : out slv2;              -- sram: chip enables  (act.low)
211
    O_MEM_BE_N : out slv4;              -- sram: byte enables  (act.low)
212
    O_MEM_WE_N : out slbit;             -- sram: write enable  (act.low)
213
    O_MEM_OE_N : out slbit;             -- sram: output enable (act.low)
214
    O_MEM_ADDR  : out slv18;            -- sram: address lines
215
    IO_MEM_DATA : inout slv32           -- sram: data lines
216
  );
217
end component;
218
 
219
end s3boardlib;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.