OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.5/] [rtl/] [ibus/] [ib_sres_or_2.vhd] - Blame information for rev 40

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 wfjm
-- $Id: ib_sres_or_2.vhd 314 2010-07-09 17:38:41Z mueller $
2
--
3
-- Copyright 2007-2008 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Module Name:    ib_sres_or_2 - syn
16
-- Description:    ibus: result or, 2 input
17
--
18
-- Dependencies:   -
19
-- Test bench:     tb/tb_pdp11_core (implicit)
20
-- Target Devices: generic
21
-- Tool versions:  xst 8.1, 8.2, 9.1, 9.2; ghdl 0.18-0.25
22
-- Revision History: 
23
-- Date         Rev Version  Comment
24
-- 2008-08-22   161   1.0.2  renamed pdp11_ibres_ -> ib_sres_; use iblib
25
-- 2008-01-05   110   1.0.1  rename IB_MREQ(ena->req) SRES(sel->ack, hold->busy)
26
-- 2007-12-29   107   1.0    Initial version 
27
------------------------------------------------------------------------------
28
 
29
library ieee;
30
use ieee.std_logic_1164.all;
31
 
32
use work.slvtypes.all;
33
use work.iblib.all;
34
 
35
-- ----------------------------------------------------------------------------
36
 
37
entity ib_sres_or_2 is                  -- ibus result or, 2 input
38
  port (
39
    IB_SRES_1 :  in ib_sres_type;                 -- ib_sres input 1
40
    IB_SRES_2 :  in ib_sres_type := ib_sres_init; -- ib_sres input 2
41
    IB_SRES_OR : out ib_sres_type       -- ib_sres or'ed output
42
  );
43
end ib_sres_or_2;
44
 
45
architecture syn of ib_sres_or_2 is
46
 
47
begin
48
 
49
  proc_comb : process (IB_SRES_1, IB_SRES_2)
50
  begin
51
 
52
    IB_SRES_OR.ack  <= IB_SRES_1.ack or
53
                       IB_SRES_2.ack;
54
    IB_SRES_OR.busy <= IB_SRES_1.busy or
55
                       IB_SRES_2.busy;
56
    IB_SRES_OR.dout <= IB_SRES_1.dout or
57
                       IB_SRES_2.dout;
58
 
59
  end process proc_comb;
60
 
61
end syn;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.