OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.5/] [rtl/] [ibus/] [ibdr_minisys.vhd] - Blame information for rev 37

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 wfjm
-- $Id: ibdr_minisys.vhd 314 2010-07-09 17:38:41Z mueller $
2
--
3
-- Copyright 2008-2010 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Module Name:    ibdr_minisys - syn
16
-- Description:    ibus(rem) devices for minimal system:SDR+KW+DL+RK
17
--
18
-- Dependencies:   ibdr_sdreg
19
--                 ibd_kw11l
20
--                 ibdr_dl11
21
--                 ibdr_rk11
22
--                 ib_sres_or_4
23
--                 ib_intmap
24
-- Test bench:     -
25
-- Target Devices: generic
26
-- Tool versions:  xst 8.1, 8.2, 9.1, 9.2; ghdl 0.18-0.25
27
-- Revision History: 
28
-- Date         Rev Version  Comment
29
-- 2010-06-11   303   1.1    use IB_MREQ.racc instead of RRI_REQ
30
-- 2009-07-12   233   1.0.7  reorder ports, add CE_USEC; add RESET and CE_USEC
31
--                           to _dl11
32
-- 2009-05-31   221   1.0.6  add RESET to kw11l;
33
-- 2009-05-24   219   1.0.5  _rk11 uses now CE_MSEC
34
-- 2008-08-22   161   1.0.4  use iblib, ibdlib
35
-- 2008-05-09   144   1.0.3  use EI_ACK with _kw11l, _dl11
36
-- 2008-04-18   136   1.0.2  add RESET port, use for ibdr_sdreg
37
-- 2008-01-20   113   1.0.1  RRI_LAM now vector
38
-- 2008-01-20   112   1.0    Initial version 
39
------------------------------------------------------------------------------
40
-- 
41
-- mini system setup
42
--
43
-- ibbase  vec  pri slot attn  device name
44
-- 
45
-- 177546  100    6    4    -  KW11-L
46
-- 177400  220    5    3    4  RK11
47
-- 177560  060    4    2    1  DL11-RX  1st
48
--         064    4    1    ^  DL11-TX  1st
49
-- 177570    -    -    -    -  sdreg
50
-- 
51
 
52
library ieee;
53
use ieee.std_logic_1164.all;
54
use ieee.std_logic_arith.all;
55
 
56
use work.slvtypes.all;
57
use work.iblib.all;
58
use work.ibdlib.all;
59
 
60
-- ----------------------------------------------------------------------------
61
entity ibdr_minisys is                  -- ibus(rem) minimal sys:SDR+KW+DL+RK
62
  port (
63
    CLK : in slbit;                     -- clock
64
    CE_USEC : in slbit;                 -- usec pulse
65
    CE_MSEC : in slbit;                 -- msec pulse
66
    RESET : in slbit;                   -- reset
67
    BRESET : in slbit;                  -- ibus reset
68
    RRI_LAM : out slv16_1;              -- remote attention vector
69
    IB_MREQ : in ib_mreq_type;          -- ibus request
70
    IB_SRES : out ib_sres_type;         -- ibus response
71
    EI_ACKM : in slbit;                 -- interrupt acknowledge (from master)
72
    EI_PRI : out slv3;                  -- interrupt priority (to cpu)
73
    EI_VECT : out slv9_2;               -- interrupt vector   (to cpu)
74
    DISPREG : out slv16                 -- display register
75
  );
76
end ibdr_minisys;
77
 
78
architecture syn of ibdr_minisys is
79
 
80
  constant conf_intmap : intmap_array_type :=
81
    (intmap_init,                       -- line 15
82
     intmap_init,                       -- line 14
83
     intmap_init,                       -- line 13
84
     intmap_init,                       -- line 12
85
     intmap_init,                       -- line 11
86
     intmap_init,                       -- line 10
87
     intmap_init,                       -- line  9
88
     intmap_init,                       -- line  8
89
     intmap_init,                       -- line  7
90
     intmap_init,                       -- line  6
91
     intmap_init,                       -- line  5
92
     (8#100#,6),                        -- line  4  KW11-L
93
     (8#220#,5),                        -- line  3  RK11
94
     (8#060#,4),                        -- line  2  DL11-RX
95
     (8#064#,4),                        -- line  1  DL11-TX
96
     intmap_init                        -- line  0
97
     );
98
 
99
  signal RRI_LAM_DL11 : slbit := '0';
100
  signal RRI_LAM_RK11 : slbit := '0';
101
 
102
  signal IB_SRES_SDREG : ib_sres_type := ib_sres_init;
103
  signal IB_SRES_KW11L : ib_sres_type := ib_sres_init;
104
  signal IB_SRES_DL11  : ib_sres_type := ib_sres_init;
105
  signal IB_SRES_RK11  : ib_sres_type := ib_sres_init;
106
 
107
  signal EI_REQ  : slv16_1 := (others=>'0');
108
  signal EI_ACK  : slv16_1 := (others=>'0');
109
 
110
  signal EI_REQ_KW11L : slbit := '0';
111
  signal EI_REQ_DL11RX : slbit := '0';
112
  signal EI_REQ_DL11TX : slbit := '0';
113
  signal EI_REQ_RK11 : slbit := '0';
114
 
115
  signal EI_ACK_KW11L : slbit := '0';
116
  signal EI_ACK_DL11RX : slbit := '0';
117
  signal EI_ACK_DL11TX : slbit := '0';
118
  signal EI_ACK_RK11 : slbit := '0';
119
 
120
begin
121
 
122
  SDREG : ibdr_sdreg
123
    port map (
124
      CLK     => CLK,
125
      RESET   => RESET,
126
      IB_MREQ => IB_MREQ,
127
      IB_SRES => IB_SRES_SDREG,
128
      DISPREG => DISPREG
129
    );
130
 
131
  KW11L : ibd_kw11l
132
    port map (
133
      CLK     => CLK,
134
      CE_MSEC => CE_MSEC,
135
      RESET   => RESET,
136
      BRESET  => BRESET,
137
      IB_MREQ => IB_MREQ,
138
      IB_SRES => IB_SRES_KW11L,
139
      EI_REQ  => EI_REQ_KW11L,
140
      EI_ACK  => EI_ACK_KW11L
141
    );
142
 
143
  DL11 : ibdr_dl11
144
    port map (
145
      CLK       => CLK,
146
      CE_USEC   => CE_USEC,
147
      RESET     => RESET,
148
      BRESET    => BRESET,
149
      RRI_LAM   => RRI_LAM_DL11,
150
      IB_MREQ   => IB_MREQ,
151
      IB_SRES   => IB_SRES_DL11,
152
      EI_REQ_RX => EI_REQ_DL11RX,
153
      EI_REQ_TX => EI_REQ_DL11TX,
154
      EI_ACK_RX => EI_ACK_DL11RX,
155
      EI_ACK_TX => EI_ACK_DL11TX
156
    );
157
 
158
  RK11 : ibdr_rk11
159
    port map (
160
      CLK     => CLK,
161
      CE_MSEC => CE_MSEC,
162
      BRESET  => BRESET,
163
      RRI_LAM => RRI_LAM_RK11,
164
      IB_MREQ => IB_MREQ,
165
      IB_SRES => IB_SRES_RK11,
166
      EI_REQ  => EI_REQ_RK11,
167
      EI_ACK  => EI_ACK_RK11
168
    );
169
 
170
  SRES_OR : ib_sres_or_4
171
    port map (
172
      IB_SRES_1  => IB_SRES_SDREG,
173
      IB_SRES_2  => IB_SRES_KW11L,
174
      IB_SRES_3  => IB_SRES_DL11,
175
      IB_SRES_4  => IB_SRES_RK11,
176
      IB_SRES_OR => IB_SRES
177
    );
178
 
179
  INTMAP : ib_intmap
180
    generic map (
181
      INTMAP => conf_intmap)
182
    port map (
183
      EI_REQ  => EI_REQ,
184
      EI_ACKM => EI_ACKM,
185
      EI_ACK  => EI_ACK,
186
      EI_PRI  => EI_PRI,
187
      EI_VECT => EI_VECT
188
    );
189
 
190
  EI_REQ(4) <= EI_REQ_KW11L;
191
  EI_REQ(3) <= EI_REQ_RK11;
192
  EI_REQ(2) <= EI_REQ_DL11RX;
193
  EI_REQ(1) <= EI_REQ_DL11TX;
194
 
195
  EI_ACK_KW11L  <= EI_ACK(4);
196
  EI_ACK_RK11   <= EI_ACK(3);
197
  EI_ACK_DL11RX <= EI_ACK(2);
198
  EI_ACK_DL11TX <= EI_ACK(1);
199
 
200
  RRI_LAM(1) <= RRI_LAM_DL11;
201
  RRI_LAM(2) <= '0';                  -- for 2nd DL11
202
  RRI_LAM(3) <= '0';                  -- for DZ11
203
  RRI_LAM(4) <= RRI_LAM_RK11;
204
  RRI_LAM(15 downto 5) <= (others=>'0');
205
 
206
end syn;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.