OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.5/] [rtl/] [vlib/] [memlib/] [ram_1swar_1ar_gen_unisim.vhd] - Blame information for rev 37

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 wfjm
-- $Id: ram_1swar_1ar_gen_unisim.vhd 314 2010-07-09 17:38:41Z mueller $
2
--
3
-- Copyright 2008-2010 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Module Name:    ram_1swar_1ar_gen - syn
16
-- Description:    Dual-Port RAM with with one synchronous write and two
17
--                 asynchronius read ports (as distributed RAM).
18
--                 Direct instantiation of Xilinx UNISIM primitives
19
--
20
-- Dependencies:   -
21
-- Test bench:     -
22
-- Target Devices: generic Spartan, Virtex
23
-- Tool versions:  xst 8.1, 8.2, 9.1, 9.2; ghdl 0.18-0.25
24
-- Revision History: 
25
-- Date         Rev Version  Comment
26
-- 2010-06-03   300   1.1    add hack for AW=5 for Spartan's
27
-- 2008-03-08   123   1.0.1  use shorter label names
28
-- 2008-03-02   122   1.0    Initial version 
29
------------------------------------------------------------------------------
30
 
31
library ieee;
32
use ieee.std_logic_1164.all;
33
 
34
library unisim;
35
use unisim.vcomponents.ALL;
36
 
37
use work.slvtypes.all;
38
 
39
entity ram_1swar_1ar_gen is             -- RAM, 1 sync w asyn r + 1 asyn r port
40
  generic (
41
    AWIDTH : positive :=  4;            -- address port width
42
    DWIDTH : positive := 16);           -- data port width
43
  port (
44
    CLK   : in slbit;                   -- clock
45
    WE    : in slbit;                   -- write enable (port A)
46
    ADDRA : in slv(AWIDTH-1 downto 0);  -- address port A
47
    ADDRB : in slv(AWIDTH-1 downto 0);  -- address port B
48
    DI    : in slv(DWIDTH-1 downto 0);  -- data in (port A)
49
    DOA   : out slv(DWIDTH-1 downto 0); -- data out port A
50
    DOB   : out slv(DWIDTH-1 downto 0)  -- data out port B
51
  );
52
end ram_1swar_1ar_gen;
53
 
54
 
55
architecture syn of ram_1swar_1ar_gen is
56
 
57
begin
58
 
59
  assert AWIDTH>=4 and AWIDTH<=5
60
    report "assert(AWIDTH>=4 and AWIDTH<=5): only 4..5 bit AWIDTH supported"
61
    severity failure;
62
 
63
  AW_4: if AWIDTH = 4 generate
64
    GL: for i in DWIDTH-1 downto 0 generate
65
      MEM : RAM16X1D
66
        generic map (
67
          INIT => X"0000")
68
        port map (
69
          DPO   => DOB(i),
70
          SPO   => DOA(i),
71
          A0    => ADDRA(0),
72
          A1    => ADDRA(1),
73
          A2    => ADDRA(2),
74
          A3    => ADDRA(3),
75
          D     => DI(i),
76
          DPRA0 => ADDRB(0),
77
          DPRA1 => ADDRB(1),
78
          DPRA2 => ADDRB(2),
79
          DPRA3 => ADDRB(3),
80
          WCLK  => CLK,
81
          WE    => WE
82
        );
83
    end generate GL;
84
  end generate AW_4;
85
 
86
  -- Note: Spartan-3 doesn't support RAM32X1D, therefore this kludge..
87
  AW_5: if AWIDTH = 5 generate
88
    signal WE0 : slbit := '0';
89
    signal WE1 : slbit := '0';
90
    signal DOA0 : slv(DWIDTH-1 downto 0) := (others=>'0');
91
    signal DOA1 : slv(DWIDTH-1 downto 0) := (others=>'0');
92
    signal DOB0 : slv(DWIDTH-1 downto 0) := (others=>'0');
93
    signal DOB1 : slv(DWIDTH-1 downto 0) := (others=>'0');
94
  begin
95
    WE0 <= WE and not ADDRA(4);
96
    WE1 <= WE and     ADDRA(4);
97
    GL: for i in DWIDTH-1 downto 0 generate
98
      MEM0 : RAM16X1D
99
        generic map (
100
          INIT => X"0000")
101
        port map (
102
          DPO   => DOB0(i),
103
          SPO   => DOA0(i),
104
          A0    => ADDRA(0),
105
          A1    => ADDRA(1),
106
          A2    => ADDRA(2),
107
          A3    => ADDRA(3),
108
          D     => DI(i),
109
          DPRA0 => ADDRB(0),
110
          DPRA1 => ADDRB(1),
111
          DPRA2 => ADDRB(2),
112
          DPRA3 => ADDRB(3),
113
          WCLK  => CLK,
114
          WE    => WE0
115
        );
116
      MEM1 : RAM16X1D
117
        generic map (
118
          INIT => X"0000")
119
        port map (
120
          DPO   => DOB1(i),
121
          SPO   => DOA1(i),
122
          A0    => ADDRA(0),
123
          A1    => ADDRA(1),
124
          A2    => ADDRA(2),
125
          A3    => ADDRA(3),
126
          D     => DI(i),
127
          DPRA0 => ADDRB(0),
128
          DPRA1 => ADDRB(1),
129
          DPRA2 => ADDRB(2),
130
          DPRA3 => ADDRB(3),
131
          WCLK  => CLK,
132
          WE    => WE1
133
        );
134
      DOA <= DOA0 when ADDRA(4)='0' else DOA1;
135
      DOB <= DOB0 when ADDRB(4)='0' else DOB1;
136
    end generate GL;
137
  end generate AW_5;
138
 
139
--  AW_6: if AWIDTH = 6 generate
140
--    GL: for i in DWIDTH-1 downto 0 generate
141
--      MEM : RAM64X1D
142
--        generic map (
143
--          INIT => X"0000000000000000")
144
--        port map (
145
--          DPO   => DOB(i),
146
--          SPO   => DOA(i),
147
--          A0    => ADDRA(0),
148
--          A1    => ADDRA(1),
149
--          A2    => ADDRA(2),
150
--          A3    => ADDRA(3),
151
--          A4    => ADDRA(4),
152
--          A5    => ADDRA(5),
153
--          D     => DI(i),
154
--          DPRA0 => ADDRB(0),
155
--          DPRA1 => ADDRB(1),
156
--          DPRA2 => ADDRB(2),
157
--          DPRA3 => ADDRB(3),
158
--          DPRA4 => ADDRB(4),
159
--          DPRA5 => ADDRB(5),
160
--          WCLK  => CLK,
161
--          WE    => WE
162
--        );
163
--    end generate GL;
164
--  end generate AW_6;
165
 
166
end syn;
167
 
168
-- Note: The VHDL instantiation example in the 8.1i Librariers Guide is wrong.
169
--       The annotation states that DPO is the port A output and SPO is port B
170
--       output. The text before is correct, DPO is port B and SPO is port A.

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.