OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.5/] [rtl/] [vlib/] [rri/] [tb/] [rritb_rbmon_sb.vhd] - Blame information for rev 37

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 wfjm
-- $Id: rritb_rbmon_sb.vhd 314 2010-07-09 17:38:41Z mueller $
2
--
3
-- Copyright 2007-2010 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Module Name:    rritb_rbmon_sb - sim
16
-- Description:    rritb: rri reg port monitor; simbus wrapper
17
--
18
-- Dependencies:   simbus
19
-- Test bench:     -
20
-- Tool versions:  xst 8.1, 8.2, 9.1, 9.2; ghdl 0.18-0.25
21
-- Revision History: 
22
-- Date         Rev Version  Comment
23
-- 2010-06-05   301   2.0.2  renamed _rpmon -> _rbmon
24
-- 2010-05-02   287   2.0.1  rename RP_STAT->RB_STAT,AP_LAM->RB_LAM
25
--                           drop RP_IINT signal from interfaces
26
--                           use sbcntl_sbf_cpmon def
27
-- 2008-08-24   162   2.0    with new rb_mreq/rb_sres interface
28
-- 2007-12-23   105   1.2    added AP_LAM display
29
-- 2007-11-24    98   1.1    added RP_IINT support
30
-- 2007-08-27    76   1.0    Initial version 
31
------------------------------------------------------------------------------
32
 
33
library ieee;
34
use ieee.std_logic_1164.all;
35
 
36
use work.slvtypes.all;
37
use work.simlib.all;
38
use work.simbus.all;
39
use work.rrilib.all;
40
use work.rritblib.all;
41
 
42
entity rritb_rbmon_sb is                -- simbus wrap for rri rbus monitor
43
  generic (
44
    DBASE : positive :=  2;             -- base for writing data values
45
    ENAPIN : integer := sbcntl_sbf_rbmon); -- SB_CNTL signal to use for enable
46
  port (
47
    CLK  : in slbit;                    -- clock
48
    RB_MREQ : in rb_mreq_type;          -- rbus: request
49
    RB_SRES : in rb_sres_type;          -- rbus: response
50
    RB_LAM : in slv16 := (others=>'0'); -- rbus: look at me
51
    RB_STAT : in slv3                   -- rbus: status flags
52
  );
53
end rritb_rbmon_sb;
54
 
55
 
56
architecture sim of rritb_rbmon_sb is
57
 
58
  signal ENA : slbit := '0';
59
 
60
begin
61
 
62
  assert ENAPIN>=SB_CNTL'low and ENAPIN<=SB_CNTL'high
63
    report "assert(ENAPIN in SB_CNTL'range)" severity failure;
64
 
65
  ENA <= to_x01(SB_CNTL(ENAPIN));
66
 
67
  RBMON : rritb_rbmon
68
    generic map (
69
      DBASE => DBASE)
70
    port map (
71
      CLK       => CLK,
72
      CLK_CYCLE => SB_CLKCYCLE,
73
      ENA       => ENA,
74
      RB_MREQ   => RB_MREQ,
75
      RB_SRES   => RB_SRES,
76
      RB_LAM    => RB_LAM,
77
      RB_STAT   => RB_STAT
78
    );
79
 
80
end sim;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.