OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.5/] [rtl/] [w11a/] [pdp11.vhd] - Blame information for rev 40

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 wfjm
-- $Id: pdp11.vhd 314 2010-07-09 17:38:41Z mueller $
2
--
3
-- Copyright 2006-2010 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Package Name:   pdp11
16
-- Description:    Definitions for pdp11 components
17
--
18
-- Dependencies:   -
19
-- Tool versions:  xst 8.1, 8.2, 9.1, 9.2, 11.4; ghdl 0.18-0.26
20
-- Revision History: 
21
-- Date         Rev Version  Comment
22
-- 2010-06-20   308   1.4.3  add c_ibrb_ibf_ def's
23
-- 2010-06-20   307   1.4.2  rename cpacc to cacc in vm_cntl_type, mmu_cntl_type
24
-- 2010-06-18   306   1.4.1  add racc, be to cp_addr_type; rm pdp11_ibdr_rri
25
-- 2010-06-13   305   1.4    add rnum to cp_cntl_type, cprnum to cpustat_type;
26
--                           reassign cp command codes and rename: c_cp_func_...
27
--                           -> c_cpfunc_...; remove  cpaddr_(lal|lah|inc) from
28
--                           dpath_cntl_type; add cpdout_we to dpath_cntl_type;
29
--                           reassign rbus adresses and rename: c_rb_addr_...
30
--                           -> c_rbaddr_...; rename rbus fields: c_rb_statf_...
31
--                           -> c_stat_rbf_...
32
-- 2010-06-12   304   1.3.3  add cpuwait to cp_stat_type and cpustat_type
33
-- 2010-06-11   303   1.3.2  use IB_MREQ.racc instead of RRI_REQ
34
-- 2010-05-02   287   1.3.1  rename RP_STAT->RB_STAT
35
-- 2010-05-01   285   1.3    port to rri V2 interface; drop pdp11_rri_2rp;
36
--                           rename c_rp_addr_* -> c_rb_addr_*
37
-- 2010-03-21   270   1.2.6  add pdp11_du_drv
38
-- 2009-05-30   220   1.2.5  final removal of snoopers (were already commented)
39
-- 2009-05-10   214   1.2.4  add ENA (trace enable) for _tmu; add _pdp11_tmu_sb
40
-- 2009-05-09   213   1.2.3  BUGFIX: default for inst_compl now '0'
41
-- 2008-12-14   177   1.2.2  add gpr_* fields to DM_STAT_DP
42
-- 2008-11-30   174   1.2.1  BUGFIX: add updt_dstadsrc;
43
-- 2008-08-22   161   1.2    move slvnn_m subtypes to slvtypes;
44
--                           move (and rename) intbus defs to iblib package;
45
--                           move intbus devices to ibdlib package;
46
--                           rename ubf_ --> ibf_;
47
-- 2008-05-09   144   1.1.17 use EI_ACK with _kw11l, _dl11
48
-- 2008-05-03   143   1.1.16 rename _cpursta->_cpurust
49
-- 2008-04-27   140   1.1.15 add c_cpursta_xxx defs; cpufail->cpursta in cp_stat
50
-- 2008-04-25   138   1.1.14 add BRESET port to _mmu, _vmbox, use in _irq
51
-- 2008-04-19   137   1.1.13 add _tmu,_sys70 entity, dm_stat_** types and ports
52
-- 2008-04-18   136   1.1.12 ibdr_sdreg: use RESET; ibdr_minisys: add RESET
53
-- 2008-03-02   121   1.1.11 remove snoopers; add waitsusp in cpustat_type
54
-- 2008-02-24   119   1.1.10 add lah,rps,wps commands, cp_addr_type.
55
--                           _vmbox,_mmu interface changed
56
-- 2008-02-17   117   1.1.9  add em_(mreq|sres)_type, pdp11_cache, pdp11_bram
57
-- 2008-01-27   115   1.1.8  add pdp11_ubmap, pdp11_mem70
58
-- 2008-01-26   114   1.1.7  add c_rp_addr_ibr(b) defs (for ibr addresses)
59
-- 2008-01-20   113   1.1.6  _core_rri: use RRI_LAM; _minisys: RRI_LAM vector
60
-- 2008-01-20   112   1.1.5  added ibdr_minisys; _ibdr_rri
61
-- 2008-01-06   111   1.1.4  rename ibdr_kw11l->ibd_kw11l; add ibdr_(dl11|rk11)
62
--                           mod pdp11_intmap;
63
-- 2008-01-05   110   1.1.3  delete _mmu_regfile; rename _mmu_regs->_mmu_sadr
64
--                           rename IB_MREQ(ena->req) SRES(sel->ack, hold->busy)
65
--                           add ibdr_kw11l.
66
-- 2008-01-01   109   1.1.2  _vmbox w/ IB_SRES_(CPU|EXT); remove vm_regs_type
67
-- 2007-12-30   108   1.1.1  add ibdr_sdreg, ubf_byte[01]
68
-- 2007-12-30   107   1.1    use IB_MREQ/IB_SRES interface now; remove DMA port
69
-- 2007-08-16    74   1.0.6  add AP_LAM interface to pdp11_core_rri
70
-- 2007-08-12    73   1.0.5  add c_rp_addr_xxx and c_rp_statf_xxx def's
71
-- 2007-08-10    72   1.0.4  added c_cp_func_xxx constant def's for commands
72
-- 2007-07-15    66   1.0.3  rename pdp11_top -> pdp11_core
73
-- 2007-07-02    63   1.0.2  reordered ports on pdp11_top (by function, not i/o)
74
-- 2007-06-14    56   1.0.1  Use slvtypes.all
75
-- 2007-05-12    26   1.0    Initial version 
76
------------------------------------------------------------------------------
77
 
78
library ieee;
79
use ieee.std_logic_1164.all;
80
use ieee.std_logic_arith.all;
81
 
82
use work.slvtypes.all;
83
use work.iblib.all;
84
use work.rrilib.all;
85
 
86
package pdp11 is
87
 
88
  type psw_type is record               -- processor status
89
    cmode : slv2;                       -- current mode
90
    pmode : slv2;                       -- previous mode
91
    rset : slbit;                       -- register set
92
    pri : slv3;                         -- processor priority
93
    tflag : slbit;                      -- trace flag
94
    cc : slv4;                          -- condition codes (NZVC).
95
  end record psw_type;
96
 
97
  constant psw_init : psw_type := (
98
    "00","00",                          -- cmode, pmode  (=kernel)
99
    '0',"111",'0',                      -- rset, pri (=7), tflag
100
    "0000"                              -- cc     NZVC=0
101
  );
102
 
103
  constant c_psw_kmode : slv2 := "00";  -- processor mode: kernel
104
  constant c_psw_smode : slv2 := "01";  -- processor mode: supervisor
105
  constant c_psw_umode : slv2 := "11";  -- processor mode: user
106
 
107
  subtype  psw_ibf_cmode  is integer range 15 downto 14;
108
  subtype  psw_ibf_pmode  is integer range 13 downto 12;
109
  constant psw_ibf_rset:  integer := 11;
110
  subtype  psw_ibf_pri    is integer range  7 downto  5;
111
  constant psw_ibf_tflag: integer :=  4;
112
  subtype  psw_ibf_cc     is integer range  3 downto  0;
113
 
114
  type sarsdr_type is record            -- combined SAR/SDR MMU status
115
    saf : slv16;                        -- segment address field
116
    slf : slv7;                         -- segment length field
117
    ed : slbit;                         -- expansion direction
118
    acf : slv3;                         -- access control field
119
  end record sarsdr_type;
120
 
121
  constant sarsdr_init : sarsdr_type := (
122
    (others=>'0'),                      -- saf
123
    "0000000",'0',"000"                 -- slf, ed, acf
124
  );
125
 
126
  type dpath_cntl_type is record        -- data path control
127
    gpr_asrc : slv3;                    -- src register address
128
    gpr_adst : slv3;                    -- dst register address
129
    gpr_mode : slv2;                    -- psw mode for gpr access
130
    gpr_rset : slbit;                   -- register set
131
    gpr_we : slbit;                     -- gpr write enable
132
    gpr_bytop : slbit;                  -- gpr high byte enable
133
    gpr_pcinc : slbit;                  -- pc increment enable
134
    psr_ccwe : slbit;                   -- enable update cc
135
    psr_we: slbit;                      -- write enable psw (from DIN)
136
    psr_func : slv3;                    -- write function psw (from DIN)
137
    dsrc_sel : slbit;                   -- src data register source select
138
    dsrc_we : slbit;                    -- src data register write enable
139
    ddst_sel : slbit;                   -- dst data register source select
140
    ddst_we : slbit;                    -- dst data register write enable
141
    dtmp_sel : slv2;                    -- tmp data register source select
142
    dtmp_we : slbit;                    -- tmp data register write enable
143
    abox_asel : slv2;                   -- abox a port selector
144
    abox_azero : slbit;                 -- abox a port force zero
145
    abox_const : slv9;                  -- abox b port const
146
    abox_bsel : slv2;                   -- abox b port selector
147
    abox_opsub : slbit;                 -- abox operation
148
    dbox_srcmod : slv2;                 -- dbox src port modifier
149
    dbox_dstmod : slv2;                 -- dbox dst port modifier
150
    dbox_cimod : slv2;                  -- dbox ci port modifier
151
    dbox_cc1op : slbit;                 -- dbox use cc modes (1 op instruction)
152
    dbox_ccmode : slv3;                 -- dbox cc port mode
153
    dbox_bytop : slbit;                 -- dbox byte operation
154
    lbox_func : slv4;                   -- lbox function
155
    lbox_bytop : slbit;                 -- lbox byte operation
156
    mbox_func : slv2;                   -- mbox function
157
    mbox_s_div : slbit;                 -- mbox s_opg_div state
158
    mbox_s_div_cn : slbit;              -- mbox s_opg_div_cn state
159
    mbox_s_div_cr : slbit;              -- mbox s_opg_div_cr state
160
    mbox_s_ash : slbit;                 -- mbox s_opg_ash state
161
    mbox_s_ash_cn : slbit;              -- mbox s_opg_ash_cn state
162
    mbox_s_ashc : slbit;                -- mbox s_opg_ashc state
163
    mbox_s_ashc_cn : slbit;             -- mbox s_opg_ashc_cn state
164
    ireg_we : slbit;                    -- ireg register write enable
165
    cres_sel : slv3;                    -- result bus (cres) select
166
    dres_sel : slv3;                    -- result bus (dres) select
167
    vmaddr_sel : slv2;                  -- virtual address select
168
    cpdout_we : slbit;                  -- capture dres for cpdout
169
  end record dpath_cntl_type;
170
 
171
  constant dpath_cntl_init : dpath_cntl_type := (
172
    "000","000","00",'0','0','0','0',   -- gpr
173
    '0','0',"000",                      -- psr
174
    '0','0','0','0',"00",'0',           -- dsrc,..,dtmp
175
    "00",'0',"000000000","00",'0',      -- abox
176
    "00","00","00",'0',"000",'0',       -- dbox
177
    "0000",'0',                         -- lbox
178
    "00",'0','0','0','0','0','0','0',   -- mbox
179
    '0',"000","000","00",'0'            -- rest
180
  );
181
 
182
  constant c_dpath_dsrc_src  : slbit := '0'; -- DSRC = R(SRC)
183
  constant c_dpath_dsrc_res  : slbit := '1'; -- DSRC = DRES
184
  constant c_dpath_ddst_dst  : slbit := '0'; -- DDST = R(DST)
185
  constant c_dpath_ddst_res  : slbit := '1'; -- DDST = DRES
186
 
187
  constant c_dpath_dtmp_dsrc  : slv2 := "00"; -- DTMP = DSRC
188
  constant c_dpath_dtmp_psw   : slv2 := "01"; -- DTMP = PSW
189
  constant c_dpath_dtmp_dres  : slv2 := "10"; -- DTMP = DRES
190
  constant c_dpath_dtmp_drese : slv2 := "11"; -- DTMP = DRESE
191
 
192
  constant c_dpath_res_abox   : slv3 := "000"; -- D/CRES = ABOX
193
  constant c_dpath_res_dbox   : slv3 := "001"; -- D/CRES = DBOX
194
  constant c_dpath_res_lbox   : slv3 := "010"; -- D/CRES = LBOX
195
  constant c_dpath_res_mbox   : slv3 := "011"; -- D/CRES = MBOX
196
  constant c_dpath_res_vmdout : slv3 := "100"; -- D/CRES = VMDOUT
197
  constant c_dpath_res_fpdout : slv3 := "101"; -- D/CRES = FPDOUT
198
  constant c_dpath_res_ireg   : slv3 := "110"; -- D/CRES = IREG
199
  constant c_dpath_res_cpdin  : slv3 := "111"; -- D/CRES = CPDIN
200
 
201
  constant c_dpath_vmaddr_dsrc : slv2 := "00"; -- VMADDR = DSRC
202
  constant c_dpath_vmaddr_ddst : slv2 := "01"; -- VMADDR = DDST
203
  constant c_dpath_vmaddr_pc   : slv2 := "10"; -- VMADDR = PC
204
  constant c_dpath_vmaddr_dtmp : slv2 := "11"; -- VMADDR = DTMP
205
 
206
  type dpath_stat_type is record        -- data path status
207
    ccout_z : slbit;                    -- current effective Z cc flag
208
    shc_tc : slbit;                     -- last shc cycle (shc==0)
209
    div_cr : slbit;                     -- division: reminder correction needed
210
    div_cq : slbit;                     -- division: quotient correction needed
211
    div_zero : slbit;                   -- division: divident or divisor zero
212
    div_ovfl : slbit;                   -- division: overflow
213
  end record dpath_stat_type;
214
 
215
  constant dpath_stat_init : dpath_stat_type := (others=>'0');
216
 
217
  type decode_stat_type is record       -- decode status
218
    is_dstmode0 : slbit;                -- dest. is register mode
219
    is_srcpc : slbit;                   -- source is pc
220
    is_srcpcmode1 : slbit;              -- source is pc and mode=1
221
    is_dstpc : slbit;                   -- dest. is pc
222
    is_dstw_reg : slbit;                -- dest. register to be written
223
    is_dstw_pc  : slbit;                -- pc register to be written
224
    is_rmwop : slbit;                   -- read-modify-write operation
225
    is_bytop : slbit;                   -- byte operation
226
    is_res : slbit;                     -- reserved operation code
227
    op_rtt : slbit;                     -- RTT instruction
228
    op_mov : slbit;                     -- MOV instruction
229
    trap_vec : slv3;                    -- trap vector addr bits 4:2
230
    force_srcsp : slbit;                -- force src register to be sp
231
    updt_dstadsrc : slbit;              -- update dsrc in dsta flow
232
    dbox_srcmod : slv2;                 -- dbox src port modifier
233
    dbox_dstmod : slv2;                 -- dbox dst port modifier
234
    dbox_cimod : slv2;                  -- dbox ci port modifier
235
    dbox_cc1op : slbit;                 -- dbox use cc modes (1 op instruction)
236
    dbox_ccmode : slv3;                 -- dbox cc port mode
237
    lbox_func : slv4;                   -- lbox function
238
    mbox_func : slv2;                   -- mbox function
239
    res_sel : slv3;                     -- result bus (cres/dres) select
240
    fork_op : slv4;                     -- op fork after idecode state
241
    fork_srcr : slv2;                   -- src-read fork after idecode state
242
    fork_dstr : slv2;                   -- dst-read fork after src read state
243
    fork_dsta : slv2;                   -- dst-addr fork after idecode state
244
    fork_opg : slv4;                    -- opg fork
245
    fork_opa : slv3;                    -- opa fork
246
    do_fork_op : slbit;                 -- execute fork_op
247
    do_fork_srcr : slbit;               -- execute fork_srcr
248
    do_fork_dstr : slbit;               -- execute fork_dstr
249
    do_fork_dsta : slbit;               -- execute fork_dsta
250
    do_fork_opg : slbit;                -- execute fork_opg
251
    do_pref_dec : slbit;                -- can do prefetch at decode phase
252
  end record decode_stat_type;
253
 
254
  constant decode_stat_init : decode_stat_type := (
255
    '0','0','0','0','0','0','0','0','0', -- is_
256
    '0','0',"000",'0','0',               -- op_, trap_, force_, updt_
257
    "00","00","00",'0',"000",            -- dbox_
258
    "0000","00","000",                   -- lbox_, mbox_, res_
259
    "0000","00","00","00","0000","000",  -- fork_
260
    '0','0','0','0','0',                 -- do_fork_
261
    '0'                                  -- do_pref_
262
  );
263
 
264
  constant c_fork_op_halt : slv4 := "0000";
265
  constant c_fork_op_wait : slv4 := "0001";
266
  constant c_fork_op_rtti : slv4 := "0010";
267
  constant c_fork_op_trap : slv4 := "0011";
268
  constant c_fork_op_reset: slv4 := "0100";
269
  constant c_fork_op_rts :  slv4 := "0101";
270
  constant c_fork_op_spl :  slv4 := "0110";
271
  constant c_fork_op_mcc :  slv4 := "0111";
272
  constant c_fork_op_br :   slv4 := "1000";
273
  constant c_fork_op_mark : slv4 := "1001";
274
  constant c_fork_op_sob :  slv4 := "1010";
275
  constant c_fork_op_mtp :  slv4 := "1011";
276
 
277
  constant c_fork_srcr_def : slv2:= "00";
278
  constant c_fork_srcr_inc : slv2:= "01";
279
  constant c_fork_srcr_dec : slv2:= "10";
280
  constant c_fork_srcr_ind : slv2:= "11";
281
 
282
  constant c_fork_dstr_def : slv2:= "00";
283
  constant c_fork_dstr_inc : slv2:= "01";
284
  constant c_fork_dstr_dec : slv2:= "10";
285
  constant c_fork_dstr_ind : slv2:= "11";
286
 
287
  constant c_fork_dsta_def : slv2:= "00";
288
  constant c_fork_dsta_inc : slv2:= "01";
289
  constant c_fork_dsta_dec : slv2:= "10";
290
  constant c_fork_dsta_ind : slv2:= "11";
291
 
292
  constant c_fork_opg_gen  : slv4 := "0000";
293
  constant c_fork_opg_wdef : slv4 := "0001";
294
  constant c_fork_opg_winc : slv4 := "0010";
295
  constant c_fork_opg_wdec : slv4 := "0011";
296
  constant c_fork_opg_wind : slv4 := "0100";
297
  constant c_fork_opg_mul  : slv4 := "0101";
298
  constant c_fork_opg_div  : slv4 := "0110";
299
  constant c_fork_opg_ash  : slv4 := "0111";
300
  constant c_fork_opg_ashc : slv4 := "1000";
301
 
302
  constant c_fork_opa_jsr :     slv3 := "000";
303
  constant c_fork_opa_jmp :     slv3 := "001";
304
  constant c_fork_opa_mtp :     slv3 := "010";
305
  constant c_fork_opa_mfp_reg : slv3 := "011";
306
  constant c_fork_opa_mfp_mem : slv3 := "100";
307
 
308
  -- Note: MSB=0 are 'normal' states, MSB=1 are fatal errors
309
  constant c_cpurust_init   : slv4 := "0000";  -- cpu in init state
310
  constant c_cpurust_halt   : slv4 := "0001";  -- cpu executed HALT
311
  constant c_cpurust_reset  : slv4 := "0010";  -- cpu was reset    
312
  constant c_cpurust_stop   : slv4 := "0011";  -- cpu was stopped
313
  constant c_cpurust_step   : slv4 := "0100";  -- cpu was stepped
314
  constant c_cpurust_susp   : slv4 := "0101";  -- cpu was suspended
315
  constant c_cpurust_runs   : slv4 := "0111";  -- cpu running
316
  constant c_cpurust_vecfet : slv4 := "1000";  -- vector fetch error halt
317
  constant c_cpurust_recrsv : slv4 := "1001";  -- recursive red-stack halt
318
  constant c_cpurust_sfail  : slv4 := "1100";  -- sequencer failure
319
  constant c_cpurust_vfail  : slv4 := "1101";  -- vmbox failure
320
 
321
  type cpustat_type is record           -- CPU status
322
    cmdbusy : slbit;                    -- command busy
323
    cmdack  : slbit;                    -- command acknowledge
324
    cmderr  : slbit;                    -- command error
325
    cmdmerr : slbit;                    -- command memory access error
326
    cpugo   : slbit;                    -- CPU go state
327
    cpustep : slbit;                    -- CPU step flag
328
    cpuhalt : slbit;                    -- CPU halt flag
329
    cpuwait : slbit;                    -- CPU wait flag
330
    cpurust : slv4;                     -- CPU run status
331
    cpfunc  : slv5;                     -- current control port function
332
    cprnum  : slv3;                     -- current control port register number
333
    waitsusp : slbit;                   -- WAIT instruction suspended
334
    intvect  : slv9_2;                  -- current interrupt vector
335
    trap_mmu : slbit;                   -- mmu trace trap pending
336
    trap_ysv : slbit;                   -- ysv trap pending
337
    prefdone : slbit;                   -- prefetch done
338
    do_gprwe : slbit;                   -- pending gpr_we
339
    do_intrsv : slbit;                  -- active rsv interrupt sequence
340
  end record cpustat_type;
341
 
342
  constant cpustat_init : cpustat_type := (
343
    '0','0','0','0',                    -- cmd..
344
    '0','0','0','0',                    -- cpu..
345
    c_cpurust_init,                     -- cpurust
346
    "00000","000",                      -- cpfunc, cprnum
347
    '0',                                -- waitsusp
348
    (others=>'0'),                      -- intvect 
349
    '0','0','0',                        -- trap_(mmu|ysv), prefdone
350
    '0','0'                             -- do_gprwe, do_intrsv
351
  );
352
 
353
  type cpuerr_type is record            -- CPU error register
354
    illhlt : slbit;                     -- illegal halt (in non-kernel mode)
355
    adderr : slbit;                     -- address error (odd, jmp/jsr reg)
356
    nxm : slbit;                        -- non-existent memory
357
    iobto : slbit;                      -- I/O bus timeout (non-exist UB)
358
    ysv : slbit;                        -- yellow stack violation
359
    rsv : slbit;                        -- red stack violation
360
  end record cpuerr_type;
361
 
362
  constant cpuerr_init : cpuerr_type := (others=>'0');
363
 
364
  type vm_cntl_type is record           -- virt memory control port
365
    req : slbit;                        -- request
366
    wacc : slbit;                       -- write access
367
    macc : slbit;                       -- modify access (r-m-w sequence)
368
    cacc : slbit;                       -- console access
369
    bytop : slbit;                      -- byte operation
370
    dspace : slbit;                     -- dspace operation
371
    kstack : slbit;                     -- access through kernel stack
372
    intrsv : slbit;                     -- active rsv interrupt sequence
373
    mode : slv2;                        -- mode
374
    trap_done : slbit;                  -- mmu trap taken (to set ssr0 bit)
375
  end record vm_cntl_type;
376
 
377
  constant vm_cntl_init : vm_cntl_type := (
378
    '0','0','0','0',                    -- req, wacc, macc,cacc
379
    '0','0','0',                        -- bytop, dspace, kstack
380
    '0',"00",'0'                        -- intrsv, mode, trap_done
381
  );
382
 
383
  type vm_stat_type is record           -- virt memory status port
384
    ack : slbit;                        -- acknowledge
385
    err : slbit;                        -- error (see err_xxx for reason)
386
    fail : slbit;                       -- failure (machine check)
387
    err_odd : slbit;                    -- abort: odd address error
388
    err_mmu : slbit;                    -- abort: mmu reject
389
    err_nxm : slbit;                    -- abort: non-existing memory
390
    err_iobto : slbit;                  -- abort: non-existing I/O resource
391
    err_rsv : slbit;                    -- abort: red stack violation
392
    trap_ysv : slbit;                   -- trap: yellow stack violation
393
    trap_mmu : slbit;                   -- trap: mmu trace trap
394
  end record vm_stat_type;
395
 
396
  constant vm_stat_init : vm_stat_type := (others=>'0');
397
 
398
  type em_mreq_type is record           -- external memory - master request
399
    req : slbit;                        -- request
400
    we : slbit;                         -- write enable
401
    be : slv2;                          -- byte enables
402
    cancel : slbit;                     -- cancel request
403
    addr : slv22_1;                     -- address
404
    din : slv16;                        -- data in (input to memory)
405
  end record em_mreq_type;
406
 
407
  constant em_mreq_init : em_mreq_type := (
408
    '0','0',"00",'0',                   -- req, we, be, cancel
409
    (others=>'0'),(others=>'0')         -- addr, din
410
  );
411
 
412
  type em_sres_type is record           -- external memory - slave response
413
    ack_r  : slbit;                     -- acknowledge read
414
    ack_w  : slbit;                     -- acknowledge write
415
    dout : slv16;                       -- data out (output from memory)
416
  end record em_sres_type;
417
 
418
  constant em_sres_init : em_sres_type := (
419
    '0','0',                            -- ack_r, ack_w
420
    (others=>'0')                       -- dout
421
  );
422
 
423
  type mmu_cntl_type is record          -- mmu control port
424
    req : slbit;                        -- translate request
425
    wacc : slbit;                       -- write access
426
    macc : slbit;                       -- modify access (r-m-w sequence)
427
    cacc : slbit;                       -- console access (bypass mmu)
428
    dspace : slbit;                     -- dspace access
429
    mode : slv2;                        -- processor mode
430
    trap_done : slbit;                  -- mmu trap taken (set ssr0 bit)
431
  end record mmu_cntl_type;
432
 
433
  constant mmu_cntl_init : mmu_cntl_type := (
434
    '0','0','0','0',                    -- req, wacc, macc, cacc
435
    '0',"00",'0'                        -- dspace, mode, trap_done
436
  );
437
 
438
  type mmu_stat_type is record          -- mmu status port
439
    vaok : slbit;                       -- virtual address valid
440
    trap : slbit;                       -- mmu trap request
441
    ena_mmu : slbit;                    -- mmu enable (ssr0 bit 0)
442
    ena_22bit : slbit;                  -- mmu in 22 bit mode (ssr3 bit 4)
443
    ena_ubmap : slbit;                  -- ubmap enable (ssr3 bit 5)
444
  end record mmu_stat_type;
445
 
446
  constant mmu_stat_init : mmu_stat_type := (others=>'0');
447
 
448
  type mmu_moni_type is record          -- mmu monitor port
449
    istart : slbit;                     -- instruction start
450
    idone : slbit;                      -- instruction done
451
    pc : slv16;                         -- PC of new instruction
452
    regmod : slbit;                     -- register modified
453
    regnum : slv3;                      -- register number
454
    delta : slv4;                       -- register offset
455
    isdec : slbit;                      -- offset to be subtracted
456
    trace_prev : slbit;                 -- use ssr12 trace state of prev. state
457
  end record mmu_moni_type;
458
 
459
  constant mmu_moni_init : mmu_moni_type := (
460
    '0','0',(others=>'0'),              -- istart, idone, pc
461
    '0',"000","0000",                   -- regmod, regnum, delta
462
    '0','0'                             -- isdec, trace_prev
463
  );
464
 
465
  type mmu_ssr0_type is record          -- MMU ssr0
466
    abo_nonres : slbit;                 -- abort non resident
467
    abo_length : slbit;                 -- abort segment length
468
    abo_rdonly : slbit;                 -- abort read-only
469
    trap_mmu : slbit;                   -- trap management
470
    ena_trap : slbit;                   -- enable traps
471
    inst_compl : slbit;                 -- instruction complete
472
    seg_mode : slv2;                    -- segement mode
473
    dspace : slbit;                     -- address space (D=1, I=0)
474
    seg_num : slv3;                     -- segment number
475
    ena_mmu : slbit;                    -- enable memory management
476
    trace_prev : slbit;                 -- ssr12 trace status in prev. state
477
  end record mmu_ssr0_type;
478
 
479
  constant mmu_ssr0_init : mmu_ssr0_type := (
480
    inst_compl=>'0', seg_mode=>"00", seg_num=>"000",
481
    others=>'0'
482
  );
483
 
484
  type mmu_ssr1_type is record          -- MMU ssr1
485
    rb_delta : slv5;                    -- RB: amount change
486
    rb_num : slv3;                      -- RB: register number
487
    ra_delta : slv5;                    -- RA: amount change
488
    ra_num : slv3;                      -- RA: register number
489
  end record mmu_ssr1_type;
490
 
491
  constant mmu_ssr1_init : mmu_ssr1_type := (
492
    "00000","000",                      -- rb_...
493
    "00000","000"                       -- ra_...
494
  );
495
 
496
  type mmu_ssr3_type is record          -- MMU ssr3
497
    ena_ubmap : slbit;                  -- enable unibus mapping
498
    ena_22bit : slbit;                  -- enable 22 bit mapping
499
    dspace_km : slbit;                  -- enable dspace kernel
500
    dspace_sm : slbit;                  -- enable dspace supervisor
501
    dspace_um : slbit;                  -- enable dspace user
502
  end record mmu_ssr3_type;
503
 
504
  constant mmu_ssr3_init : mmu_ssr3_type := (others=>'0');
505
 
506
-- control port definitions --------------------------------------------------
507
 
508
  type cp_cntl_type is record           -- control port control
509
    req : slbit;                        -- request
510
    func : slv5;                        -- function
511
    rnum : slv3;                        -- register number
512
  end record cp_cntl_type;
513
 
514
  constant c_cpfunc_noop : slv5 := "00000";  -- noop : no operation
515
  constant c_cpfunc_sta  : slv5 := "00001";  -- sta  : cpu start
516
  constant c_cpfunc_sto  : slv5 := "00010";  -- sto  : cpu stop 
517
  constant c_cpfunc_cont : slv5 := "00011";  -- cont : cpu continue
518
  constant c_cpfunc_step : slv5 := "00100";  -- step : cpu step 
519
  constant c_cpfunc_rst  : slv5 := "01111";  -- rst  : cpu reset (soft)
520
  constant c_cpfunc_rreg : slv5 := "10000";  -- rreg : read register
521
  constant c_cpfunc_wreg : slv5 := "10001";  -- wreg : write register
522
  constant c_cpfunc_rpsw : slv5 := "10010";  -- rpsw : read psw
523
  constant c_cpfunc_wpsw : slv5 := "10011";  -- wpsw : write psw
524
  constant c_cpfunc_rmem : slv5 := "10100";  -- rmem : read memory
525
  constant c_cpfunc_wmem : slv5 := "10101";  -- wmem : write memory
526
 
527
  constant cp_cntl_init : cp_cntl_type := ('0',c_cpfunc_noop,"000");
528
 
529
  type cp_stat_type is record           -- control port status
530
    cmdbusy : slbit;                    -- command busy
531
    cmdack : slbit;                     -- command acknowledge
532
    cmderr : slbit;                     -- command error
533
    cmdmerr : slbit;                    -- command memory access error
534
    cpugo : slbit;                      -- CPU go state
535
    cpustep : slbit;                    -- CPU step flag
536
    cpuhalt : slbit;                    -- CPU halt flag
537
    cpuwait : slbit;                    -- CPU wait flag
538
    cpurust : slv4;                     -- CPU run status
539
  end record cp_stat_type;
540
 
541
  constant cp_stat_init : cp_stat_type := (
542
    '0','0','0','0',                    -- cmd...
543
    '0','0','0','0',                    -- cpu...
544
    (others=>'0')                       -- cpurust
545
  );
546
 
547
  type cp_addr_type is record           -- control port address
548
    addr : slv22_1;                     -- address
549
    racc : slbit;                       -- ibr access
550
    be : slv2;                          -- byte enables
551
    ena_22bit : slbit;                  -- enable 22 bit mode
552
    ena_ubmap : slbit;                  -- enable unibus mapper
553
  end record cp_addr_type;
554
 
555
  constant cp_addr_init : cp_addr_type := (
556
    (others=>'0'),                      -- addr
557
    '0',"00",                           -- racc, be
558
    '0','0'                             -- ena_...
559
  );
560
 
561
-- debug and monitoring port definitions -------------------------------------
562
 
563
  type dm_cntl_type is record           -- debug and monitor control
564
    dum1 : slbit;                       -- dummy 1
565
    dum2 : slbit;                       -- dummy 2
566
  end record dm_cntl_type;
567
 
568
  constant dm_cntl_init : dm_cntl_type := (others=>'0');
569
 
570
  type dm_stat_dp_type is record        -- debug and monitor status - dpath
571
    pc : slv16;                         -- pc
572
    psw : psw_type;                     -- psw
573
    ireg : slv16;                       -- ireg
574
    ireg_we : slbit;                    -- ireg we
575
    dsrc : slv16;                       -- dsrc register
576
    ddst : slv16;                       -- ddst register
577
    dtmp : slv16;                       -- dtmp register
578
    dres : slv16;                       -- dres bus
579
    gpr_adst : slv3;                    -- gpr dst regsiter
580
    gpr_mode : slv2;                    -- gpr mode
581
    gpr_bytop : slbit;                  -- gpr bytop
582
    gpr_we : slbit;                     -- gpr we
583
  end record dm_stat_dp_type;
584
 
585
  constant dm_stat_dp_init : dm_stat_dp_type := (
586
    (others=>'0'),                      -- pc
587
    psw_init,                           -- psw
588
    (others=>'0'),'0',                  -- ireg, ireg_we
589
    (others=>'0'),(others=>'0'),        -- dsrc, ddst
590
    (others=>'0'),(others=>'0'),        -- dtmp, dres
591
    (others=>'0'),(others=>'0'),        -- gpr_adst, gpr_mode
592
    '0','0'                             -- gpr_bytop, gpr_we
593
  );
594
 
595
  type dm_stat_vm_type is record        -- debug and monitor status - vmbox
596
    ibmreq : ib_mreq_type;              -- ibus master request
597
    ibsres : ib_sres_type;              -- ibus slave response
598
  end record dm_stat_vm_type;
599
 
600
  constant dm_stat_vm_init : dm_stat_vm_type := (ib_mreq_init,ib_sres_init);
601
 
602
  type dm_stat_co_type is record        -- debug and monitor status - core
603
    cpugo : slbit;                      -- cpugo state flag
604
    cpuhalt : slbit;                    -- cpuhalt state flag
605
  end record dm_stat_co_type;
606
 
607
  constant dm_stat_co_init : dm_stat_co_type := ('0','0');
608
 
609
  type dm_stat_sy_type is record        -- debug and monitor status - system
610
    emmreq : em_mreq_type;              -- external memory: request
611
    emsres : em_sres_type;              -- external memory: response
612
    chit : slbit;                       -- cache hit
613
  end record dm_stat_sy_type;
614
 
615
  constant dm_stat_sy_init : dm_stat_sy_type := (em_mreq_init,em_sres_init,'0');
616
 
617
-- rbus interface definitions ------------------------------------------------
618
 
619
  constant c_rbaddr_conf : slv5 := "00000"; -- R/W configuration reg
620
  constant c_rbaddr_cntl : slv5 := "00001"; -- -/F  control reg
621
  constant c_rbaddr_stat : slv5 := "00010"; -- R/- status reg
622
  constant c_rbaddr_psw  : slv5 := "00011"; -- R/W psw access
623
  constant c_rbaddr_al   : slv5 := "00100"; -- R/W address low reg
624
  constant c_rbaddr_ah   : slv5 := "00101"; -- R/W address high reg
625
  constant c_rbaddr_mem  : slv5 := "00110"; -- R/W memory access
626
  constant c_rbaddr_memi : slv5 := "00111"; -- R/W memory access; inc addr
627
 
628
  constant c_rbaddr_r0   : slv5 := "01000"; -- R/W gpr 0
629
  constant c_rbaddr_r1   : slv5 := "01001"; -- R/W gpr 1
630
  constant c_rbaddr_r2   : slv5 := "01010"; -- R/W gpr 2
631
  constant c_rbaddr_r3   : slv5 := "01011"; -- R/W gpr 3
632
  constant c_rbaddr_r4   : slv5 := "01100"; -- R/W gpr 4
633
  constant c_rbaddr_r5   : slv5 := "01101"; -- R/W gpr 5
634
  constant c_rbaddr_sp   : slv5 := "01110"; -- R/W gpr 6 (sp)
635
  constant c_rbaddr_pc   : slv5 := "01111"; -- R/W gpr 7 (pc)
636
 
637
  constant c_rbaddr_ibrb : slv5 := "10000"; -- R/W ibr base address
638
 
639
  subtype  c_al_rbf_addr       is integer range 15 downto 1;  -- al: address
640
  constant c_ah_rbf_ena_ubmap: integer :=  7;                 -- ah: ubmap
641
  constant c_ah_rbf_ena_22bit: integer :=  6;                 -- ah: 22bit
642
  subtype  c_ah_rbf_addr       is integer range  5 downto 0;  -- ah: address
643
 
644
  constant c_stat_rbf_cmderr:   integer := 0;  -- stat field: cmderr
645
  constant c_stat_rbf_cmdmerr:  integer := 1;  -- stat field: cmdmerr
646
  constant c_stat_rbf_cpugo:    integer := 2;  -- stat field: cpugo
647
  constant c_stat_rbf_cpuhalt:  integer := 3;  -- stat field: cpuhalt
648
  subtype  c_stat_rbf_cpurust   is integer range  7 downto  4;  -- cpurust
649
 
650
  subtype  c_ibrb_ibf_base     is integer range 12 downto 6; -- ibrb: base addr
651
  subtype  c_ibrb_ibf_be       is integer range  1 downto 0; -- ibrb: be's
652
 
653
-- -------------------------------------
654
 
655
component pdp11_gpr is                  -- general purpose registers
656
  port (
657
    CLK : in slbit;                     -- clock
658
    DIN : in slv16;                     -- input data
659
    ASRC : in slv3;                     -- source register number
660
    ADST : in slv3;                     -- destination register number
661
    MODE : in slv2;                     -- processor mode (k=>00,s=>01,u=>11)
662
    RSET : in slbit;                    -- register set
663
    WE : in slbit;                      -- write enable
664
    BYTOP : in slbit;                   -- byte operation (write low byte only)
665
    PCINC : in slbit;                   -- increment PC
666
    DSRC : out slv16;                   -- source register data
667
    DDST : out slv16;                   -- destination register data
668
    PC : out slv16                      -- current PC value
669
  );
670
end component;
671
 
672
constant c_gpr_r5 : slv3 := "101";      -- register number of r5
673
constant c_gpr_sp : slv3 := "110";      -- register number of SP
674
constant c_gpr_pc : slv3 := "111";      -- register number of PC
675
 
676
component pdp11_psr is                  -- processor status word register
677
  port (
678
    CLK : in slbit;                     -- clock
679
    CRESET : in slbit;                  -- console reset
680
    DIN : in slv16;                     -- input data
681
    CCIN : in slv4;                     -- cc input
682
    CCWE : in slbit;                    -- enable update cc
683
    WE : in slbit;                      -- write enable (from DIN)
684
    FUNC : in slv3;                     -- write function (from DIN)
685
    PSW : out psw_type;                 -- current psw
686
    IB_MREQ : in ib_mreq_type;          -- ibus request
687
    IB_SRES : out ib_sres_type          -- ibus response
688
  );
689
end component;
690
 
691
constant c_psr_func_wspl : slv3 := "000"; -- SPL mode: set pri
692
constant c_psr_func_wcc  : slv3 := "001"; -- CC mode: set/clear cc
693
constant c_psr_func_wint : slv3 := "010"; -- interupt mode: pmode=cmode
694
constant c_psr_func_wrti : slv3 := "011"; -- rti mode: protect modes
695
constant c_psr_func_wall : slv3 := "100"; -- write all fields
696
 
697
component pdp11_abox is                 -- arithmetic unit for addresses (abox)
698
  port (
699
    DSRC : in slv16;                    -- 'src' data for port A
700
    DDST : in slv16;                    -- 'dst' data for port A
701
    DTMP : in slv16;                    -- 'tmp' data for port A
702
    PC : in slv16;                      -- PC data for port A
703
    ASEL : in slv2;                     -- selector for port A
704
    AZERO : in slbit;                   -- force zero for port A
705
    IREG8 : in slv8;                    -- 'ireg' data for port B
706
    VMDOUT : in slv16;                  -- virt. memory data for port B
707
    CONST : in slv9;                    -- sequencer const data for port B
708
    BSEL : in slv2;                     -- selector for port B
709
    OPSUB : in slbit;                   -- operation: 0 add, 1 sub
710
    DOUT : out slv16;                   -- data output
711
    NZOUT : out slv2                    -- NZ condition codes out
712
  );
713
end component;
714
 
715
constant c_abox_asel_ddst : slv2 := "00"; -- A = DDST
716
constant c_abox_asel_dsrc : slv2 := "01"; -- A = DSRC
717
constant c_abox_asel_pc   : slv2 := "10"; -- A = PC  
718
constant c_abox_asel_dtmp : slv2 := "11"; -- A = DTMP
719
 
720
constant c_abox_bsel_const  : slv2 := "00"; -- B = CONST
721
constant c_abox_bsel_vmdout : slv2 := "01"; -- B = VMDOUT
722
constant c_abox_bsel_ireg6  : slv2 := "10"; -- B = 2*IREG(6bit)
723
constant c_abox_bsel_ireg8  : slv2 := "11"; -- B = 2*IREG(8bit,sign-extend)
724
 
725
component pdp11_dbox is                 -- arithmetic unit for data (dbox)
726
  port (
727
    DSRC : in slv16;                    -- 'src' data in
728
    DDST : in slv16;                    -- 'dst' data in
729
    CI : in slbit;                      -- carry flag in
730
    SRCMOD : in slv2;                   -- src modifier mode
731
    DSTMOD : in slv2;                   -- dst modifier mode
732
    CIMOD : in slv2;                    -- ci modifier mode
733
    CC1OP : in slbit;                   -- use cc modes (1 op instruction)
734
    CCMODE : in slv3;                   -- cc mode
735
    BYTOP : in slbit;                   -- byte operation
736
    DOUT : out slv16;                   -- data output
737
    CCOUT : out slv4                    -- condition codes out
738
  );
739
end component;
740
 
741
constant c_dbox_mod_pass : slv2 := "00"; -- pass data
742
constant c_dbox_mod_inv  : slv2 := "01"; -- invert data
743
constant c_dbox_mod_zero : slv2 := "10"; -- set to 0
744
constant c_dbox_mod_one  : slv2 := "11"; -- set to 1
745
 
746
-- the c_dbox_ccmode codes follow exactly the opcode format (bit 8:6)
747
constant c_dbox_ccmode_clr : slv3 := "000"; -- do clr instruction
748
constant c_dbox_ccmode_com : slv3 := "001"; -- do com instruction
749
constant c_dbox_ccmode_inc : slv3 := "010"; -- do inc instruction
750
constant c_dbox_ccmode_dec : slv3 := "011"; -- do dec instruction
751
constant c_dbox_ccmode_neg : slv3 := "100"; -- do neg instruction
752
constant c_dbox_ccmode_adc : slv3 := "101"; -- do adc instruction
753
constant c_dbox_ccmode_sbc : slv3 := "110"; -- do sbc instruction
754
constant c_dbox_ccmode_tst : slv3 := "111"; -- do tst instruction
755
 
756
component pdp11_lbox is                 -- logic unit for data (lbox)
757
  port (
758
    DSRC : in slv16;                    -- 'src' data in
759
    DDST : in slv16;                    -- 'dst' data in
760
    CCIN : in slv4;                     -- condition codes in
761
    FUNC : in slv4;                     -- function
762
    BYTOP : in slbit;                   -- byte operation
763
    DOUT : out slv16;                   -- data output
764
    CCOUT : out slv4                    -- condition codes out
765
  );
766
end component;
767
 
768
constant c_lbox_func_asr  : slv4 := "0000"; -- ASR/ASRB ??? recheck coding !!
769
constant c_lbox_func_asl  : slv4 := "0001"; -- ASL/ASLB
770
constant c_lbox_func_ror  : slv4 := "0010"; -- ROR/RORB
771
constant c_lbox_func_rol  : slv4 := "0011"; -- ROL/ROLB
772
constant c_lbox_func_bis  : slv4 := "0100"; -- BIS/BISB
773
constant c_lbox_func_bic  : slv4 := "0101"; -- BIC/BICB
774
constant c_lbox_func_bit  : slv4 := "0110"; -- BIT/BITB
775
constant c_lbox_func_mov  : slv4 := "0111"; -- MOV/MOVB
776
constant c_lbox_func_sxt  : slv4 := "1000"; -- SXT
777
constant c_lbox_func_swap : slv4 := "1001"; -- SWAB
778
constant c_lbox_func_xor  : slv4 := "1010"; -- XOR
779
 
780
component pdp11_mbox is                 -- mul/div unit for data (mbox)
781
  port (
782
    CLK : in slbit;                     -- clock
783
    DSRC : in slv16;                    -- 'src' data in
784
    DDST : in slv16;                    -- 'dst' data in
785
    DTMP : in slv16;                    -- 'tmp' data in
786
    GPR_DSRC : in slv16;                -- 'src' data from GPR
787
    FUNC : in slv2;                     -- function
788
    S_DIV : in slbit;                   -- s_opg_div state
789
    S_DIV_CN : in slbit;                -- s_opg_div_cn state
790
    S_DIV_CR : in slbit;                -- s_opg_div_cr state
791
    S_ASH : in slbit;                   -- s_opg_ash state
792
    S_ASH_CN : in slbit;                -- s_opg_ash_cn state
793
    S_ASHC : in slbit;                  -- s_opg_ashc state
794
    S_ASHC_CN : in slbit;               -- s_opg_ashc_cn state
795
    SHC_TC : out slbit;                 -- last shc cycle (shc==0)
796
    DIV_CR : out slbit;                 -- division: reminder correction needed
797
    DIV_CQ : out slbit;                 -- division: quotient correction needed
798
    DIV_ZERO : out slbit;               -- division: divident or divisor zero
799
    DIV_OVFL : out slbit;               -- division: overflow
800
    DOUT : out slv16;                   -- data output
801
    DOUTE : out slv16;                  -- data output extra
802
    CCOUT : out slv4                    -- condition codes out
803
  );
804
end component;
805
 
806
constant c_mbox_func_mul  : slv2 := "00"; -- MUL
807
constant c_mbox_func_div  : slv2 := "01"; -- DIV
808
constant c_mbox_func_ash  : slv2 := "10"; -- ASH
809
constant c_mbox_func_ashc : slv2 := "11"; -- ASHC
810
 
811
component pdp11_mmu_sadr is             -- mmu SAR/SDR register set
812
  port (
813
    CLK : in slbit;                     -- clock
814
    MODE : in slv2;                     -- mode
815
    ASN : in slv4;                      -- augmented segment number (1+3 bit)
816
    AIB_WE : in slbit;                  -- update AIB
817
    AIB_SETA : in slbit;                -- set access AIB
818
    AIB_SETW : in slbit;                -- set write AIB
819
    SARSDR : out sarsdr_type;           -- combined SAR/SDR
820
    IB_MREQ : in ib_mreq_type;          -- ibus request
821
    IB_SRES : out ib_sres_type          -- ibus response
822
  );
823
end component;
824
 
825
component pdp11_mmu_ssr12 is            -- mmu register ssr1 and ssr2
826
  port (
827
    CLK : in slbit;                     -- clock
828
    CRESET : in slbit;                  -- console reset
829
    TRACE : in slbit;                   -- trace enable
830
    MONI : in mmu_moni_type;            -- MMU monitor port data
831
    IB_MREQ : in ib_mreq_type;          -- ibus request
832
    IB_SRES : out ib_sres_type          -- ibus response
833
  );
834
end component;
835
 
836
component pdp11_mmu is                  -- mmu - memory management unit
837
  port (
838
    CLK : in slbit;                     -- clock
839
    CRESET : in slbit;                  -- console reset
840
    BRESET : in slbit;                  -- ibus reset
841
    CNTL : in mmu_cntl_type;            -- control port
842
    VADDR : in slv16;                   -- virtual address
843
    MONI : in mmu_moni_type;            -- monitor port
844
    STAT : out mmu_stat_type;           -- status port
845
    PADDRH : out slv16;                 -- physical address (upper 16 bit)
846
    IB_MREQ : in ib_mreq_type;          -- ibus request
847
    IB_SRES : out ib_sres_type          -- ibus response
848
  );
849
end component;
850
 
851
component pdp11_vmbox is                -- virtual memory
852
  port (
853
    CLK : in slbit;                     -- clock
854
    GRESET : in slbit;                  -- global reset
855
    CRESET : in slbit;                  -- console reset
856
    BRESET : in slbit;                  -- ibus reset
857
    CP_ADDR : in cp_addr_type;          -- console port address
858
    VM_CNTL : in vm_cntl_type;          -- vm control port
859
    VM_ADDR : in slv16;                 -- vm address
860
    VM_DIN : in slv16;                  -- vm data in
861
    VM_STAT : out vm_stat_type;         -- vm status port
862
    VM_DOUT : out slv16;                -- vm data out
863
    EM_MREQ : out em_mreq_type;         -- external memory: request
864
    EM_SRES : in em_sres_type;          -- external memory: response
865
    MMU_MONI : in mmu_moni_type;        -- mmu monitor port
866
    IB_MREQ_M : out ib_mreq_type;       -- ibus request  (master)
867
    IB_SRES_CPU : in ib_sres_type;      -- ibus response (CPU registers)
868
    IB_SRES_EXT : in ib_sres_type;      -- ibus response (external devices)
869
    DM_STAT_VM : out dm_stat_vm_type    -- debug and monitor status
870
  );
871
end component;
872
 
873
component pdp11_dpath is                -- CPU datapath
874
  port (
875
    CLK : in slbit;                     -- clock
876
    CRESET : in slbit;                  -- console reset
877
    CNTL : in dpath_cntl_type;          -- control interface
878
    STAT : out dpath_stat_type;         -- status interface
879
    CP_DIN : in slv16;                  -- console port data in
880
    CP_DOUT : out slv16;                -- console port data out
881
    PSWOUT : out psw_type;              -- current psw
882
    PCOUT : out slv16;                  -- current pc
883
    IREG : out slv16;                   -- ireg out
884
    VM_ADDR : out slv16;                -- virt. memory address
885
    VM_DOUT : in slv16;                 -- virt. memory data out
886
    VM_DIN : out slv16;                 -- virt. memory data in
887
    IB_MREQ : in ib_mreq_type;          -- ibus request
888
    IB_SRES : out ib_sres_type;         -- ibus response
889
    DM_STAT_DP : out dm_stat_dp_type    -- debug and monitor status
890
  );
891
end component;
892
 
893
component pdp11_decode is             -- instruction decoder
894
  port (
895
    IREG : in slv16;                  -- input instruction word
896
    STAT : out decode_stat_type       -- status output
897
  );
898
end component;
899
 
900
component pdp11_sequencer is            -- cpu sequencer
901
  port (
902
    CLK : in slbit;                     -- clock
903
    GRESET : in slbit;                  -- global reset
904
    PSW : in psw_type;                  -- processor status
905
    PC : in slv16;                      -- program counter
906
    IREG : in slv16;                    -- IREG
907
    ID_STAT : in decode_stat_type;      -- instr. decoder status
908
    DP_STAT : in dpath_stat_type;       -- data path status
909
    CP_CNTL : in cp_cntl_type;          -- console port control
910
    VM_STAT : in vm_stat_type;          -- virtual memory status port
911
    INT_PRI : in slv3;                  -- interrupt priority
912
    INT_VECT : in slv9_2;               -- interrupt vector
913
    CRESET : out slbit;                 -- console reset
914
    BRESET : out slbit;                 -- ibus reset
915
    MMU_MONI : out mmu_moni_type;       -- mmu monitor port
916
    DP_CNTL : out dpath_cntl_type;      -- data path control
917
    VM_CNTL : out vm_cntl_type;         -- virtual memory control port
918
    CP_STAT : out cp_stat_type;         -- console port status
919
    INT_ACK : out slbit;                -- interrupt acknowledge
920
    IB_MREQ : in ib_mreq_type;          -- ibus request
921
    IB_SRES : out ib_sres_type          -- ibus response    
922
  );
923
end component;
924
 
925
component pdp11_irq is                  -- interrupt requester
926
  port (
927
    CLK : in slbit;                     -- clock
928
    BRESET : in slbit;                  -- ibus reset
929
    INT_ACK : in slbit;                 -- interrupt acknowledge from CPU
930
    EI_PRI : in slv3;                   -- external interrupt priority
931
    EI_VECT : in slv9_2;                -- external interrupt vector
932
    EI_ACKM : out slbit;                -- external interrupt acknowledge
933
    PRI : out slv3;                     -- interrupt priority
934
    VECT : out slv9_2;                  -- interrupt vector
935
    IB_MREQ : in ib_mreq_type;          -- ibus request
936
    IB_SRES : out ib_sres_type          -- ibus response
937
  );
938
end component;
939
 
940
component pdp11_ubmap is                -- 11/70 unibus mapper
941
  port (
942
    CLK : in slbit;                     -- clock
943
    MREQ : in slbit;                    -- request mapping
944
    ADDR_UB : in slv18_1;               -- UNIBUS address (in)
945
    ADDR_PM : out slv22_1;              -- physical memory address (out)
946
    IB_MREQ : in ib_mreq_type;          -- ibus request
947
    IB_SRES : out ib_sres_type          -- ibus response
948
  );
949
end component;
950
 
951
component pdp11_sys70 is                -- 11/70 memory system registers
952
  port (
953
    CLK : in slbit;                     -- clock
954
    CRESET : in slbit;                  -- console reset
955
    IB_MREQ : in ib_mreq_type;          -- ibus request
956
    IB_SRES : out ib_sres_type          -- ibus response
957
  );
958
end component;
959
 
960
component pdp11_mem70 is                -- 11/70 memory system registers
961
  port (
962
    CLK : in slbit;                     -- clock
963
    CRESET : in slbit;                  -- console reset
964
    HM_ENA : in slbit;                  -- hit/miss enable
965
    HM_VAL : in slbit;                  -- hit/miss value
966
    CACHE_FMISS : out slbit;            -- cache force miss
967
    IB_MREQ : in ib_mreq_type;          -- ibus request
968
    IB_SRES : out ib_sres_type          -- ibus response
969
  );
970
end component;
971
 
972
component pdp11_cache is                -- cache
973
  port (
974
    CLK : in slbit;                     -- clock
975
    GRESET : in slbit;                  -- global reset
976
    EM_MREQ : in em_mreq_type;          -- em request
977
    EM_SRES : out em_sres_type;         -- em response
978
    FMISS : in slbit;                   -- force miss
979
    CHIT : out slbit;                   -- cache hit flag
980
    MEM_REQ : out slbit;                -- memory: request
981
    MEM_WE : out slbit;                 -- memory: write enable
982
    MEM_BUSY : in slbit;                -- memory: controller busy
983
    MEM_ACK_R : in slbit;               -- memory: acknowledge read
984
    MEM_ADDR : out slv20;               -- memory: address
985
    MEM_BE : out slv4;                  -- memory: byte enable
986
    MEM_DI : out slv32;                 -- memory: data in  (memory view)
987
    MEM_DO : in slv32                   -- memory: data out (memory view)
988
  );
989
end component;
990
 
991
component pdp11_core is                 -- full processor core
992
  port (
993
    CLK : in slbit;                     -- clock
994
    RESET : in slbit;                   -- reset
995
    CP_CNTL : in cp_cntl_type;          -- console control port
996
    CP_ADDR : in cp_addr_type;          -- console address port
997
    CP_DIN : in slv16;                  -- console data in
998
    CP_STAT : out cp_stat_type;         -- console status port
999
    CP_DOUT : out slv16;                -- console data out
1000
    EI_PRI : in slv3;                   -- external interrupt priority
1001
    EI_VECT : in slv9_2;                -- external interrupt vector
1002
    EI_ACKM : out slbit;                -- external interrupt acknowledge
1003
    EM_MREQ : out em_mreq_type;         -- external memory: request
1004
    EM_SRES : in em_sres_type;          -- external memory: response
1005
    BRESET : out slbit;                 -- ibus reset
1006
    IB_MREQ_M : out ib_mreq_type;       -- ibus master request (master)
1007
    IB_SRES_M : in ib_sres_type;        -- ibus slave response (master)
1008
    DM_STAT_DP : out dm_stat_dp_type;   -- debug and monitor status - dpath
1009
    DM_STAT_VM : out dm_stat_vm_type;   -- debug and monitor status - vmbox
1010
    DM_STAT_CO : out dm_stat_co_type    -- debug and monitor status - core
1011
  );
1012
end component;
1013
 
1014
component pdp11_tmu is                  -- trace and monitor unit
1015
  port (
1016
    CLK : in slbit;                     -- clock
1017
    ENA : in slbit := '0';              -- enable trace output
1018
    DM_STAT_DP : in dm_stat_dp_type;    -- DM dpath
1019
    DM_STAT_VM : in dm_stat_vm_type;    -- DM vmbox
1020
    DM_STAT_CO : in dm_stat_co_type;    -- DM core
1021
    DM_STAT_SY : in dm_stat_sy_type     -- DM system
1022
  );
1023
end component;
1024
 
1025
component pdp11_tmu_sb is               -- trace and mon. unit; simbus wrapper
1026
  generic (
1027
    ENAPIN : integer := 13);            -- SB_CNTL signal to use for enable
1028
   port (
1029
    CLK : in slbit;                     -- clock
1030
    DM_STAT_DP : in dm_stat_dp_type;    -- DM dpath
1031
    DM_STAT_VM : in dm_stat_vm_type;    -- DM vmbox
1032
    DM_STAT_CO : in dm_stat_co_type;    -- DM core
1033
    DM_STAT_SY : in dm_stat_sy_type     -- DM system
1034
  );
1035
end component;
1036
 
1037
component pdp11_du_drv is               -- display unit low level driver
1038
  generic (
1039
    CDWIDTH : positive :=  3);          -- clock divider width
1040
  port (
1041
    CLK : in slbit;                     -- clock
1042
    GRESET : in slbit;                  -- global reset
1043
    ROW0 : in slv22;                    -- led row 0 (22 leds, top)
1044
    ROW1 : in slv16;                    -- led row 1 (16 leds)
1045
    ROW2 : in slv16;                    -- led row 2 (16 leds)
1046
    ROW3 : in slv10;                    -- led row 3 (10 leds, bottom)
1047
    SWOPT : out slv8;                   -- option pattern from du
1048
    SWOPT_RDY : out slbit;              -- marks update of swopt
1049
    DU_CLK : out slbit;                 -- DU: clk
1050
    DU_FRAME : out slbit;               -- DU: frame
1051
    DU_MOSI : out slbit;                -- DU: mosi (master out, slave in)
1052
    DU_MISO : in slbit                  -- DU: miso (master in, slave out)
1053
  );
1054
end component;
1055
 
1056
component pdp11_bram is                 -- BRAM based ext. memory dummy
1057
  generic (
1058
    AWIDTH : positive := 14);           -- address width
1059
  port (
1060
    CLK : in slbit;                     -- clock
1061
    GRESET : in slbit;                  -- global reset
1062
    EM_MREQ : in em_mreq_type;          -- em request
1063
    EM_SRES : out em_sres_type          -- em response
1064
  );
1065
end component;
1066
 
1067
component pdp11_core_rri is             -- core to rri reg port interface
1068
  generic (
1069
    RB_ADDR_CORE : slv8 := conv_std_logic_vector(2#00000000#,8);
1070
    RB_ADDR_IBUS : slv8 := conv_std_logic_vector(2#10000000#,8));
1071
  port (
1072
    CLK : in slbit;                     -- clock
1073
    RESET : in slbit;                   -- reset
1074
    RB_MREQ : in rb_mreq_type;          -- rbus: request
1075
    RB_SRES : out rb_sres_type;         -- rbus: response
1076
    RB_STAT : out slv3;                 -- rbus: status flags
1077
    RRI_LAM : out slbit;                -- remote attention
1078
    CPU_RESET : out slbit;              -- cpu master reset
1079
    CP_CNTL : out cp_cntl_type;         -- console control port
1080
    CP_ADDR : out cp_addr_type;         -- console address port
1081
    CP_DIN : out slv16;                 -- console data in
1082
    CP_STAT : in cp_stat_type;          -- console status port
1083
    CP_DOUT : in slv16                  -- console data out
1084
  );
1085
end component;
1086
 
1087
-- ----- move later to pdp11_conf --------------------------------------------
1088
 
1089
constant conf_vect_pirq : integer := 8#240#;
1090
constant conf_pri_pirq_1 : integer := 1;
1091
constant conf_pri_pirq_2 : integer := 2;
1092
constant conf_pri_pirq_3 : integer := 3;
1093
constant conf_pri_pirq_4 : integer := 4;
1094
constant conf_pri_pirq_5 : integer := 5;
1095
constant conf_pri_pirq_6 : integer := 6;
1096
constant conf_pri_pirq_7 : integer := 7;
1097
 
1098
end package pdp11;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.