OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.5/] [rtl/] [w11a/] [pdp11_mmu_ssr12.vhd] - Blame information for rev 7

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 wfjm
-- $Id: pdp11_mmu_ssr12.vhd 314 2010-07-09 17:38:41Z mueller $
2
--
3
-- Copyright 2006-2009 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Module Name:    pdp11_mmu_ssr12 - syn
16
-- Description:    pdp11: mmu register ssr1 and ssr2
17
--
18
-- Dependencies:   -
19
-- Test bench:     tb/tb_pdp11_core (implicit)
20
-- Target Devices: generic
21
-- Tool versions:  xst 8.1, 8.2, 9.1, 9.2; ghdl 0.18-0.25
22
-- Revision History: 
23
-- Revision History: 
24
-- Date         Rev Version  Comment
25
-- 2009-05-30   220   1.1.4  final removal of snoopers (were already commented)
26
-- 2008-08-22   161   1.1.3  rename ubf_ -> ibf_; use iblib
27
-- 2008-03-02   121   1.1.2  remove snoopers
28
-- 2008-01-05   110   1.1.1  rename IB_MREQ(ena->req) SRES(sel->ack, hold->busy)
29
-- 2007-12-30   107   1.1    use IB_MREQ/IB_SRES interface now
30
-- 2007-06-14    56   1.0.1  Use slvtypes.all
31
-- 2007-05-12    26   1.0    Initial version 
32
------------------------------------------------------------------------------
33
 
34
library ieee;
35
use ieee.std_logic_1164.all;
36
use ieee.std_logic_arith.all;
37
 
38
use work.slvtypes.all;
39
use work.iblib.all;
40
use work.pdp11.all;
41
 
42
-- ----------------------------------------------------------------------------
43
 
44
entity pdp11_mmu_ssr12 is               -- mmu register ssr1 and ssr2
45
  port (
46
    CLK : in slbit;                     -- clock
47
    CRESET : in slbit;                  -- console reset
48
    TRACE : in slbit;                   -- trace enable
49
    MONI : in mmu_moni_type;            -- MMU monitor port data
50
    IB_MREQ : in ib_mreq_type;          -- ibus request
51
    IB_SRES : out ib_sres_type          -- ibus response
52
  );
53
end pdp11_mmu_ssr12;
54
 
55
architecture syn of pdp11_mmu_ssr12 is
56
 
57
  constant ibaddr_ssr1 : slv16 := conv_std_logic_vector(8#177574#,16);
58
  constant ibaddr_ssr2 : slv16 := conv_std_logic_vector(8#177576#,16);
59
 
60
  subtype ssr1_ibf_rb_delta is integer range 15 downto 11;
61
  subtype ssr1_ibf_rb_num is integer range 10 downto 8;
62
  subtype ssr1_ibf_ra_delta is integer range 7 downto 3;
63
  subtype ssr1_ibf_ra_num is integer range 2 downto 0;
64
 
65
  signal IBSEL_SSR1 : slbit := '0';
66
  signal IBSEL_SSR2 : slbit := '0';
67
  signal R_SSR1 : mmu_ssr1_type := mmu_ssr1_init;
68
  signal R_SSR2 : slv16 := (others=>'0');
69
  signal NEXT_SSR1 : mmu_ssr1_type := mmu_ssr1_init;
70
  signal NEXT_SSR2 : slv16 := (others=>'0');
71
 
72
begin
73
 
74
  proc_ibsel: process (IB_MREQ)
75
    variable issr1 : slbit := '0';
76
    variable issr2 : slbit := '0';
77
  begin
78
    issr1 := '0';
79
    issr2 := '0';
80
    if IB_MREQ.req = '1' then
81
      if IB_MREQ.addr = ibaddr_ssr1(12 downto 1) then issr1 := '1'; end if;
82
      if IB_MREQ.addr = ibaddr_ssr2(12 downto 1) then issr2 := '1'; end if;
83
    end if;
84
    IBSEL_SSR1   <= issr1;
85
    IBSEL_SSR2   <= issr2;
86
    IB_SRES.ack  <= issr1 or issr2;
87
    IB_SRES.busy <= '0';
88
  end process proc_ibsel;
89
 
90
  proc_ubdout : process (IBSEL_SSR1, IBSEL_SSR2, R_SSR1, R_SSR2)
91
    variable ssr1out : slv16 := (others=>'0');
92
    variable ssr2out : slv16 := (others=>'0');
93
  begin
94
 
95
    ssr1out := (others=>'0');
96
    if IBSEL_SSR1 = '1' then
97
      ssr1out(ssr1_ibf_rb_delta) := R_SSR1.rb_delta;
98
      ssr1out(ssr1_ibf_rb_num)   := R_SSR1.rb_num;
99
      ssr1out(ssr1_ibf_ra_delta) := R_SSR1.ra_delta;
100
      ssr1out(ssr1_ibf_ra_num)   := R_SSR1.ra_num;
101
    end if;
102
 
103
    ssr2out := (others=>'0');
104
    if IBSEL_SSR2 = '1' then
105
      ssr2out := R_SSR2;
106
    end if;
107
 
108
    IB_SRES.dout <= ssr1out or ssr2out;
109
 
110
  end process proc_ubdout;
111
 
112
  proc_regs : process (CLK)
113
  begin
114
    if CLK'event and CLK='1' then
115
      R_SSR1 <= NEXT_SSR1;
116
      R_SSR2 <= NEXT_SSR2;
117
    end if;
118
  end process proc_regs;
119
 
120
  proc_comb : process (CRESET, IBSEL_SSR1, IB_MREQ,
121
                       R_SSR1, R_SSR2, TRACE, MONI)
122
 
123
    variable nssr1 : mmu_ssr1_type := mmu_ssr1_init;
124
    variable nssr2 : slv16 := (others=>'0');
125
    variable delta : slv5 := (others=>'0');
126
    variable use_rb : slbit := '0';
127
 
128
  begin
129
 
130
    nssr1 := R_SSR1;
131
    nssr2 := R_SSR2;
132
    delta := "0" & MONI.delta;
133
 
134
    use_rb := '0';
135
    if MONI.regnum/=nssr1.ra_num and unsigned(nssr1.ra_delta)/=0 then
136
      use_rb := '1';
137
    end if;
138
 
139
    if CRESET = '1' then
140
      nssr1 := mmu_ssr1_init;
141
      nssr2 := (others=>'0');
142
 
143
    elsif IBSEL_SSR1='1' and IB_MREQ.we='1' then
144
 
145
      if IB_MREQ.be1 = '1' then
146
        nssr1.rb_delta := IB_MREQ.din(ssr1_ibf_rb_delta);
147
        nssr1.rb_num   := IB_MREQ.din(ssr1_ibf_rb_num);
148
      end if;
149
      if IB_MREQ.be0 = '1' then
150
        nssr1.ra_delta := IB_MREQ.din(ssr1_ibf_ra_delta);
151
        nssr1.ra_num   := IB_MREQ.din(ssr1_ibf_ra_num);
152
      end if;
153
 
154
    elsif TRACE = '1' then
155
 
156
      if MONI.istart = '1' then
157
        nssr1 := mmu_ssr1_init;
158
        nssr2 := MONI.pc;
159
 
160
      elsif MONI.regmod = '1' then
161
        if use_rb = '0' then
162
          nssr1.ra_num := MONI.regnum;
163
          if MONI.isdec = '0' then
164
            nssr1.ra_delta := signed(nssr1.ra_delta) + signed(delta);
165
          else
166
            nssr1.ra_delta := signed(nssr1.ra_delta) - signed(delta);
167
          end if;
168
        else
169
          nssr1.rb_num := MONI.regnum;
170
          if MONI.isdec = '0' then
171
            nssr1.rb_delta := signed(nssr1.rb_delta) + signed(delta);
172
          else
173
            nssr1.rb_delta := signed(nssr1.rb_delta) - signed(delta);
174
          end if;
175
        end if;
176
      end if;
177
 
178
    end if;
179
 
180
    NEXT_SSR1 <= nssr1;
181
    NEXT_SSR2 <= nssr2;
182
 
183
  end process proc_comb;
184
 
185
end syn;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.