OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.5/] [rtl/] [w11a/] [sys_conf.vhd] - Blame information for rev 7

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 wfjm
-- $Id: sys_conf.vhd 314 2010-07-09 17:38:41Z mueller $
2
--
3
-- Copyright 2007-2008 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Package Name:   sys_conf
16
-- Description:    Default definitions for pdp11core (for simple test benches)
17
--
18
-- Dependencies:   -
19
-- Tool versions:  xst 8.1, 8.2, 9.1, 9.2; ghdl 0.18-0.25
20
-- Revision History: 
21
-- Date         Rev Version  Comment
22
-- 2008-02-23   118   1.0    Initial version 
23
------------------------------------------------------------------------------
24
 
25
library ieee;
26
use ieee.std_logic_1164.all;
27
 
28
use work.slvtypes.all;
29
 
30
package sys_conf is
31
 
32
  constant sys_conf_bram_awidth    : integer := 15;       -- 32 kB BRAM
33
  constant sys_conf_mem_losize     : integer := 8#000777#;-- 32 kByte
34
--  constant sys_conf_bram_awidth    : integer := 14;       -- 16 kB BRAM
35
--  constant sys_conf_mem_losize     : integer := 8#000377#;-- 16 kByte
36
 
37
end package sys_conf;
38
 
39
-- Note: mem_losize holds 16 MSB of the PA of the addressable memory
40
--        2 211 111 111 110 000 000 000
41
--        1 098 765 432 109 876 543 210
42
--
43
--        0 000 000 011 111 111 000 000  -> 00037777  --> 14bit --> 16 kByte
44
--        0 000 000 011 111 111 000 000  -> 00077777  --> 15bit --> 32 kByte
45
--        0 011 111 111 111 111 000 000  -> 03777777  --> 20bit -->  1 MByte
46
--        1 110 111 111 111 111 000 000  -> 16777777  --> 22bit -->  4 MByte
47
--                                          upper 256 kB excluded for 11/70 UB

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.