OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.5/] [rtl/] [w11a/] [tb/] [tbd_pdp11_core.vhd] - Blame information for rev 7

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 wfjm
-- $Id: tbd_pdp11_core.vhd 314 2010-07-09 17:38:41Z mueller $
2
--
3
-- Copyright 2007-2010 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Module Name:    tbd_pdp11_core - syn
16
-- Description:    Wrapper for pdp11_core to avoid records. It has a port
17
--                 interface which will not be modified by xst synthesis
18
--                 (no records, no generic port).
19
--
20
-- Dependencies:   genlib/clkdivce
21
--                 pdp11_core
22
--                 pdp11_bram
23
--                 ibus/ibdr_minisys
24
--                 pdp11_tmu_sb           [sim only]
25
--
26
-- To test:        pdp11_core
27
--
28
-- Target Devices: generic
29
-- Tool versions:  xst 8.1, 8.2, 9.1, 9.2; ghdl 0.18-0.25
30
--
31
-- Synthesized (xst):
32
-- Date         Rev  ise         Target      flop lutl lutm slic t peri
33
-- 2010-06-13   305  11.4   L68  xc3s1000-4   601 2504  206 1428 s 18.6
34
-- 2008-03-01   120  8.2.03 I34  xc3s1000-4   679 2562  206 1465 s 18.5
35
-- 2008-01-06   111  8.2.03 I34  xc3s1000-4   605 2324  164 1297 s 18.7
36
-- 2007-12-30   107  8.2.03 I34  xc3s1000-4   536 2119  119 1184 s 19.3
37
-- 2007-10-27    92  9.2.02 J39  xc3s1000-4  INTERNAL_ERROR -> blog_webpack
38
-- 2007-10-27    92  9.1    J30  xc3s1000-4   503 2021  119    - t 18.7
39
-- 2007-10-27    92  8.2.03 I34  xc3s1000-4   534 2091  119 1170 s 19.3
40
-- 2007-10-27    92  8.1.03 I27  xc3s1000-4   557 2186  119    - s 18.6 
41
--
42
-- Revision History: 
43
-- Date         Rev Version  Comment
44
-- 2010-06-20   307   1.4.1  add CP_ADDR_racc, CP_ADDR_be port
45
-- 2010-06-13   305   1.4    add CP_ADDR_... in ports; add CP_CNTL_rnum in port
46
-- 2010-06-11   303   1.3.9  use IB_MREQ.racc instead of RRI_REQ
47
-- 2009-07-12   233   1.3.8  adapt to ibdr_minisys interface changes
48
-- 2009-05-10   214   1.3.7  use pdp11_tmu_sb instead of pdp11_tmu
49
-- 2008-08-22   161   1.3.6  use iblib, ibdlib
50
-- 2008-05-03   143   1.3.5  rename _cpursta->_cpurust
51
-- 2008-04-27   140   1.3.4  use cpursta interface, remove cpufail
52
-- 2008-04-19   137   1.3.3  add DM_STAT_(DP|VM|CO|SY) signals, add pdp11_tmu
53
-- 2008-04-18   136   1.3.2  add RESET for ibdr_minisys
54
-- 2008-02-23   118   1.3.1  use sys_conf for bram size
55
-- 2008-02-17   117   1.3    adapt to em_ core interface; use pdp11_bram
56
-- 2008-01-20   112   1.2.1  rename clkgen->clkdivce; use ibdr_minisys, BRESET;
57
-- 2008-01-06   111   1.2    add some external devices: KW11L, DL11, RK11
58
-- 2007-12-30   107   1.1    use IB_MREQ/IB_SRES interface now; remove DMA port
59
-- 2007-09-23    85   1.0    Initial version 
60
------------------------------------------------------------------------------
61
 
62
library ieee;
63
use ieee.std_logic_1164.all;
64
use ieee.std_logic_arith.all;
65
 
66
use work.slvtypes.all;
67
use work.genlib.all;
68
use work.iblib.all;
69
use work.ibdlib.all;
70
use work.pdp11.all;
71
use work.sys_conf.all;
72
 
73
entity tbd_pdp11_core is              -- full core [no records]
74
  port (
75
    CLK : in slbit;                   -- clock
76
    RESET : in slbit;                 -- reset
77
    CP_CNTL_req : in slbit;           -- console control port
78
    CP_CNTL_func : in slv5;           -- console control port
79
    CP_CNTL_rnum : in slv3;           -- console control port
80
    CP_ADDR_addr : in slv22_1;        -- console address port
81
    CP_ADDR_racc : in slbit;          -- console address port
82
    CP_ADDR_be   : in slv2;           -- console address port
83
    CP_ADDR_ena_22bit : in slbit;     -- console address port
84
    CP_ADDR_ena_ubmap : in slbit;     -- console address port
85
    CP_DIN : in slv16;                -- console data in
86
    CP_STAT_cmdbusy : out slbit;      -- console status port
87
    CP_STAT_cmdack : out slbit;       -- console status port
88
    CP_STAT_cmderr : out slbit;       -- console status port
89
    CP_STAT_cmdmerr : out slbit;      -- console status port
90
    CP_STAT_cpugo : out slbit;        -- console status port
91
    CP_STAT_cpuhalt : out slbit;      -- console status port
92
    CP_STAT_cpustep : out slbit;      -- console status port
93
    CP_STAT_cpurust : out slv4;       -- console status port
94
    CP_DOUT : out slv16               -- console data out
95
  );
96
end tbd_pdp11_core;
97
 
98
 
99
architecture syn of tbd_pdp11_core is
100
 
101
  signal CE_USEC : slbit := '0';
102
 
103
  signal EI_PRI  : slv3 := (others=>'0');
104
  signal EI_VECT : slv9_2 := (others=>'0');
105
  signal EI_ACKM : slbit := '0';
106
 
107
  signal CP_CNTL : cp_cntl_type := cp_cntl_init;
108
  signal CP_ADDR : cp_addr_type := cp_addr_init;
109
  signal CP_STAT : cp_stat_type := cp_stat_init;
110
 
111
  signal EM_MREQ : em_mreq_type := em_mreq_init;
112
  signal EM_SRES : em_sres_type := em_sres_init;
113
 
114
  signal BRESET  : slbit := '0';
115
  signal IB_MREQ_M : ib_mreq_type := ib_mreq_init;
116
  signal IB_SRES_M : ib_sres_type := ib_sres_init;
117
 
118
  signal DM_STAT_DP : dm_stat_dp_type := dm_stat_dp_init;
119
  signal DM_STAT_VM : dm_stat_vm_type := dm_stat_vm_init;
120
  signal DM_STAT_CO : dm_stat_co_type := dm_stat_co_init;
121
  signal DM_STAT_SY : dm_stat_sy_type := dm_stat_sy_init;
122
 
123
begin
124
 
125
  CP_CNTL.req  <= CP_CNTL_req;
126
  CP_CNTL.func <= CP_CNTL_func;
127
  CP_CNTL.rnum <= CP_CNTL_rnum;
128
 
129
  CP_ADDR.addr      <= CP_ADDR_addr;
130
  CP_ADDR.racc      <= CP_ADDR_racc;
131
  CP_ADDR.be        <= CP_ADDR_be;
132
  CP_ADDR.ena_22bit <= CP_ADDR_ena_22bit;
133
  CP_ADDR.ena_ubmap <= CP_ADDR_ena_ubmap;
134
 
135
  CP_STAT_cmdbusy <= CP_STAT.cmdbusy;
136
  CP_STAT_cmdack  <= CP_STAT.cmdack;
137
  CP_STAT_cmderr  <= CP_STAT.cmderr;
138
  CP_STAT_cmdmerr <= CP_STAT.cmdmerr;
139
  CP_STAT_cpugo   <= CP_STAT.cpugo;
140
  CP_STAT_cpuhalt <= CP_STAT.cpuhalt;
141
  CP_STAT_cpustep <= CP_STAT.cpustep;
142
  CP_STAT_cpurust <= CP_STAT.cpurust;
143
 
144
  CLKDIV : clkdivce
145
    generic map (
146
      CDUWIDTH => 6,
147
      USECDIV => 50,
148
      MSECDIV => 1000)
149
    port map (
150
      CLK     => CLK,
151
      CE_USEC => CE_USEC,
152
      CE_MSEC => open
153
    );
154
 
155
  PDP11 : pdp11_core
156
    port map (
157
      CLK     => CLK,
158
      RESET   => RESET,
159
      CP_CNTL => CP_CNTL,
160
      CP_ADDR => CP_ADDR,
161
      CP_DIN  => CP_DIN,
162
      CP_STAT => CP_STAT,
163
      CP_DOUT => CP_DOUT,
164
      EI_PRI  => EI_PRI,
165
      EI_VECT => EI_VECT,
166
      EI_ACKM => EI_ACKM,
167
      EM_MREQ => EM_MREQ,
168
      EM_SRES => EM_SRES,
169
      BRESET  => BRESET,
170
      IB_MREQ_M  => IB_MREQ_M,
171
      IB_SRES_M  => IB_SRES_M,
172
      DM_STAT_DP => DM_STAT_DP,
173
      DM_STAT_VM => DM_STAT_VM,
174
      DM_STAT_CO => DM_STAT_CO
175
    );
176
 
177
  MEM : pdp11_bram
178
    generic map (
179
      AWIDTH => sys_conf_bram_awidth)
180
    port map (
181
      CLK     => CLK,
182
      GRESET  => RESET,
183
      EM_MREQ => EM_MREQ,
184
      EM_SRES => EM_SRES
185
    );
186
 
187
  IBDR_SYS : ibdr_minisys
188
    port map (
189
      CLK      => CLK,
190
      CE_USEC  => CE_USEC,
191
      CE_MSEC  => CE_USEC,              -- !! in test benches msec = usec !!
192
      RESET    => RESET,
193
      BRESET   => BRESET,
194
      RRI_LAM  => open,
195
      IB_MREQ  => IB_MREQ_M,
196
      IB_SRES  => IB_SRES_M,
197
      EI_ACKM  => EI_ACKM,
198
      EI_PRI   => EI_PRI,
199
      EI_VECT  => EI_VECT,
200
      DISPREG  => open
201
    );
202
 
203
-- synthesis translate_off
204
 
205
  DM_STAT_SY.emmreq <= EM_MREQ;
206
  DM_STAT_SY.emsres <= EM_SRES;
207
  DM_STAT_SY.chit   <= '0';
208
 
209
  TMU : pdp11_tmu_sb
210
    generic map (
211
      ENAPIN => 13)
212
     port map (
213
      CLK        => CLK,
214
      DM_STAT_DP => DM_STAT_DP,
215
      DM_STAT_VM => DM_STAT_VM,
216
      DM_STAT_CO => DM_STAT_CO,
217
      DM_STAT_SY => DM_STAT_SY
218
    );
219
 
220
-- synthesis translate_on
221
 
222
end syn;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.