OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.6/] [rtl/] [bplib/] [nexys2/] [nexys2lib.vhd] - Blame information for rev 24

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 20 wfjm
-- $Id: nexys2lib.vhd 509 2013-04-21 20:46:20Z mueller $
2 2 wfjm
--
3 20 wfjm
-- Copyright 2010-2013 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4 2 wfjm
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Package Name:   nexys2lib
16
-- Description:    Nexys 2 components
17
-- 
18
-- Dependencies:   -
19 15 wfjm
-- Tool versions:  xst 11.4, 12.1, 13.1; ghdl 0.26-0.29
20 8 wfjm
--
21 2 wfjm
-- Revision History: 
22
-- Date         Rev Version  Comment
23 17 wfjm
-- 2013-01-01   467   1.4    add nexys2_cuff_aif, nexys2_fusp_cuff_aif
24
-- 2011-12-23   444   1.3    remove clksys output hack
25 15 wfjm
-- 2011-11-26   433   1.2    remove n2_cram_* modules, now in nxcramlib
26
-- 2011-11-23   432   1.1    remove O_FLA_CE_N port in cram driver/dummy
27 8 wfjm
-- 2010-11-13   338   1.0.2  add O_CLKSYS to aif's (DCM derived system clock)
28
-- 2010-11-06   336   1.0.4  rename input pin CLK -> I_CLK50
29 2 wfjm
-- 2010-05-28   295   1.0.3  use _ADV_N also for n2_cram_dummy
30
-- 2010-05-23   294   1.0.2  add n2_cram_dummy;
31
-- 2010-05-23   293   1.0.1  use _ADV_N rather _ADV; add generic for memctl
32
-- 2010-05-21   292   1.0    Initial version 
33
------------------------------------------------------------------------------
34
 
35
library ieee;
36
use ieee.std_logic_1164.all;
37
 
38
use work.slvtypes.all;
39
 
40
package nexys2lib is
41
 
42
component nexys2_aif is                 -- NEXYS 2, abstract iface, base
43
  port (
44 8 wfjm
    I_CLK50 : in slbit;                 -- 50 MHz board clock
45 2 wfjm
    I_RXD : in slbit;                   -- receive data (board view)
46
    O_TXD : out slbit;                  -- transmit data (board view)
47 15 wfjm
    I_SWI : in slv8;                    -- n2 switches
48
    I_BTN : in slv4;                    -- n2 buttons
49
    O_LED : out slv8;                   -- n2 leds
50 2 wfjm
    O_ANO_N : out slv4;                 -- 7 segment disp: anodes   (act.low)
51
    O_SEG_N : out slv8;                 -- 7 segment disp: segments (act.low)
52
    O_MEM_CE_N : out slbit;             -- cram: chip enable   (act.low)
53
    O_MEM_BE_N : out slv2;              -- cram: byte enables  (act.low)
54
    O_MEM_WE_N : out slbit;             -- cram: write enable  (act.low)
55
    O_MEM_OE_N : out slbit;             -- cram: output enable (act.low)
56
    O_MEM_ADV_N  : out slbit;           -- cram: address valid (act.low)
57
    O_MEM_CLK : out slbit;              -- cram: clock
58
    O_MEM_CRE : out slbit;              -- cram: command register enable
59
    I_MEM_WAIT : in slbit;              -- cram: mem wait
60
    O_MEM_ADDR  : out slv23;            -- cram: address lines
61 15 wfjm
    IO_MEM_DATA : inout slv16;          -- cram: data lines
62
    O_FLA_CE_N : out slbit              -- flash ce..          (act.low)
63 2 wfjm
  );
64
end component;
65
 
66
component nexys2_fusp_aif is           -- NEXYS 2, abstract iface, base+fusp
67
  port (
68 8 wfjm
    I_CLK50 : in slbit;                 -- 50 MHz board clock
69 2 wfjm
    I_RXD : in slbit;                   -- receive data (board view)
70
    O_TXD : out slbit;                  -- transmit data (board view)
71 15 wfjm
    I_SWI : in slv8;                    -- n2 switches
72
    I_BTN : in slv4;                    -- n2 buttons
73
    O_LED : out slv8;                   -- n2 leds
74 2 wfjm
    O_ANO_N : out slv4;                 -- 7 segment disp: anodes   (act.low)
75
    O_SEG_N : out slv8;                 -- 7 segment disp: segments (act.low)
76
    O_MEM_CE_N : out slbit;             -- cram: chip enable   (act.low)
77
    O_MEM_BE_N : out slv2;              -- cram: byte enables  (act.low)
78
    O_MEM_WE_N : out slbit;             -- cram: write enable  (act.low)
79
    O_MEM_OE_N : out slbit;             -- cram: output enable (act.low)
80
    O_MEM_ADV_N  : out slbit;           -- cram: address valid (act.low)
81
    O_MEM_CLK : out slbit;              -- cram: clock
82
    O_MEM_CRE : out slbit;              -- cram: command register enable
83
    I_MEM_WAIT : in slbit;              -- cram: mem wait
84
    O_MEM_ADDR  : out slv23;            -- cram: address lines
85
    IO_MEM_DATA : inout slv16;          -- cram: data lines
86 15 wfjm
    O_FLA_CE_N : out slbit;             -- flash ce..          (act.low)
87 2 wfjm
    O_FUSP_RTS_N : out slbit;           -- fusp: rs232 rts_n
88
    I_FUSP_CTS_N : in slbit;            -- fusp: rs232 cts_n
89
    I_FUSP_RXD : in slbit;              -- fusp: rs232 rx
90
    O_FUSP_TXD : out slbit              -- fusp: rs232 tx
91
  );
92
end component;
93
 
94 17 wfjm
component nexys2_cuff_aif is           -- NEXYS 2, abstract iface, base+cuff
95
  port (
96
    I_CLK50 : in slbit;                 -- 50 MHz board clock
97
    I_RXD : in slbit;                   -- receive data (board view)
98
    O_TXD : out slbit;                  -- transmit data (board view)
99
    I_SWI : in slv8;                    -- n2 switches
100
    I_BTN : in slv4;                    -- n2 buttons
101
    O_LED : out slv8;                   -- n2 leds
102
    O_ANO_N : out slv4;                 -- 7 segment disp: anodes   (act.low)
103
    O_SEG_N : out slv8;                 -- 7 segment disp: segments (act.low)
104
    O_MEM_CE_N : out slbit;             -- cram: chip enable   (act.low)
105
    O_MEM_BE_N : out slv2;              -- cram: byte enables  (act.low)
106
    O_MEM_WE_N : out slbit;             -- cram: write enable  (act.low)
107
    O_MEM_OE_N : out slbit;             -- cram: output enable (act.low)
108
    O_MEM_ADV_N  : out slbit;           -- cram: address valid (act.low)
109
    O_MEM_CLK : out slbit;              -- cram: clock
110
    O_MEM_CRE : out slbit;              -- cram: command register enable
111
    I_MEM_WAIT : in slbit;              -- cram: mem wait
112
    O_MEM_ADDR  : out slv23;            -- cram: address lines
113
    IO_MEM_DATA : inout slv16;          -- cram: data lines
114
    O_FLA_CE_N : out slbit;             -- flash ce..          (act.low)
115
    I_FX2_IFCLK : in slbit;             -- fx2: interface clock
116
    O_FX2_FIFO : out slv2;              -- fx2: fifo address
117
    I_FX2_FLAG : in slv4;               -- fx2: fifo flags
118
    O_FX2_SLRD_N : out slbit;           -- fx2: read enable    (act.low)
119
    O_FX2_SLWR_N : out slbit;           -- fx2: write enable   (act.low)
120
    O_FX2_SLOE_N : out slbit;           -- fx2: output enable  (act.low)
121
    O_FX2_PKTEND_N : out slbit;         -- fx2: packet end     (act.low)
122
    IO_FX2_DATA : inout slv8            -- fx2: data lines
123
  );
124
end component;
125
 
126
component nexys2_fusp_cuff_aif is       -- NEXYS 2, abstract iface, +fusp+cuff
127
  port (
128
    I_CLK50 : in slbit;                 -- 50 MHz board clock
129
    I_RXD : in slbit;                   -- receive data (board view)
130
    O_TXD : out slbit;                  -- transmit data (board view)
131
    I_SWI : in slv8;                    -- n2 switches
132
    I_BTN : in slv4;                    -- n2 buttons
133
    O_LED : out slv8;                   -- n2 leds
134
    O_ANO_N : out slv4;                 -- 7 segment disp: anodes   (act.low)
135
    O_SEG_N : out slv8;                 -- 7 segment disp: segments (act.low)
136
    O_MEM_CE_N : out slbit;             -- cram: chip enable   (act.low)
137
    O_MEM_BE_N : out slv2;              -- cram: byte enables  (act.low)
138
    O_MEM_WE_N : out slbit;             -- cram: write enable  (act.low)
139
    O_MEM_OE_N : out slbit;             -- cram: output enable (act.low)
140
    O_MEM_ADV_N  : out slbit;           -- cram: address valid (act.low)
141
    O_MEM_CLK : out slbit;              -- cram: clock
142
    O_MEM_CRE : out slbit;              -- cram: command register enable
143
    I_MEM_WAIT : in slbit;              -- cram: mem wait
144
    O_MEM_ADDR  : out slv23;            -- cram: address lines
145
    IO_MEM_DATA : inout slv16;          -- cram: data lines
146
    O_FLA_CE_N : out slbit;             -- flash ce..          (act.low)
147
    O_FUSP_RTS_N : out slbit;           -- fusp: rs232 rts_n
148
    I_FUSP_CTS_N : in slbit;            -- fusp: rs232 cts_n
149
    I_FUSP_RXD : in slbit;              -- fusp: rs232 rx
150
    O_FUSP_TXD : out slbit;             -- fusp: rs232 tx
151
    I_FX2_IFCLK : in slbit;             -- fx2: interface clock
152
    O_FX2_FIFO : out slv2;              -- fx2: fifo address
153
    I_FX2_FLAG : in slv4;               -- fx2: fifo flags
154
    O_FX2_SLRD_N : out slbit;           -- fx2: read enable    (act.low)
155
    O_FX2_SLWR_N : out slbit;           -- fx2: write enable   (act.low)
156
    O_FX2_SLOE_N : out slbit;           -- fx2: output enable  (act.low)
157
    O_FX2_PKTEND_N : out slbit;         -- fx2: packet end     (act.low)
158
    IO_FX2_DATA : inout slv8            -- fx2: data lines
159
  );
160
end component;
161
 
162 12 wfjm
end package nexys2lib;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.