OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.6/] [rtl/] [bplib/] [nexys2/] [tb/] [nexys2_fusp_dummy.vhd] - Blame information for rev 24

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 17 wfjm
-- $Id: nexys2_fusp_dummy.vhd 467 2013-01-02 19:49:05Z mueller $
2 10 wfjm
--
3 15 wfjm
-- Copyright 2010-2011 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4 10 wfjm
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
-- 
14
------------------------------------------------------------------------------
15 17 wfjm
-- Module Name:    nexys2_fusp_dummy - syn
16 10 wfjm
-- Description:    nexys2 minimal target (base; serport loopback)
17
--
18
-- Dependencies:   -
19
-- To test:        tb_nexys2
20
-- Target Devices: generic
21 15 wfjm
-- Tool versions:  xst 11.4, 12.1, 13.1; ghdl 0.26-0.29
22 10 wfjm
--
23
-- Revision History: 
24
-- Date         Rev Version  Comment
25 17 wfjm
-- 2011-12-23   444   1.3    remove clksys output hack
26 15 wfjm
-- 2011-11-26   433   1.2    use nxcramlib
27
-- 2011-11-23   432   1.1    remove O_FLA_CE_N port from n2_cram_dummy
28 10 wfjm
-- 2010-11-13   338   1.0.2  add O_CLKSYS (for DCM derived system clock)
29
-- 2010-11-06   336   1.0.1  rename input pin CLK -> I_CLK50
30
-- 2010-05-28   295   1.0    Initial version (derived from s3board_fusp_dummy)
31
------------------------------------------------------------------------------
32
 
33
library ieee;
34
use ieee.std_logic_1164.all;
35
 
36
use work.slvtypes.all;
37 15 wfjm
use work.nxcramlib.all;
38 10 wfjm
 
39
entity nexys2_fusp_dummy is             -- NEXYS 2 dummy (base+fusp; loopback)
40
                                        -- implements nexys2_fusp_aif
41
  port (
42
    I_CLK50 : in slbit;                 -- 50 MHz board clock
43
    I_RXD : in slbit;                   -- receive data (board view)
44
    O_TXD : out slbit;                  -- transmit data (board view)
45 15 wfjm
    I_SWI : in slv8;                    -- n2 switches
46
    I_BTN : in slv4;                    -- n2 buttons
47
    O_LED : out slv8;                   -- n2 leds
48 10 wfjm
    O_ANO_N : out slv4;                 -- 7 segment disp: anodes   (act.low)
49
    O_SEG_N : out slv8;                 -- 7 segment disp: segments (act.low)
50
    O_MEM_CE_N : out slbit;             -- cram: chip enable   (act.low)
51
    O_MEM_BE_N : out slv2;              -- cram: byte enables  (act.low)
52
    O_MEM_WE_N : out slbit;             -- cram: write enable  (act.low)
53
    O_MEM_OE_N : out slbit;             -- cram: output enable (act.low)
54
    O_MEM_ADV_N  : out slbit;           -- cram: address valid (act.low)
55
    O_MEM_CLK : out slbit;              -- cram: clock
56
    O_MEM_CRE : out slbit;              -- cram: command register enable
57
    I_MEM_WAIT : in slbit;              -- cram: mem wait
58
    O_MEM_ADDR  : out slv23;            -- cram: address lines
59
    IO_MEM_DATA : inout slv16;          -- cram: data lines
60 15 wfjm
    O_FLA_CE_N : out slbit;             -- flash ce..          (act.low)
61 10 wfjm
    O_FUSP_RTS_N : out slbit;           -- fusp: rs232 rts_n
62
    I_FUSP_CTS_N : in slbit;            -- fusp: rs232 cts_n
63
    I_FUSP_RXD : in slbit;              -- fusp: rs232 rx
64
    O_FUSP_TXD : out slbit              -- fusp: rs232 tx
65
  );
66
end nexys2_fusp_dummy;
67
 
68
architecture syn of nexys2_fusp_dummy is
69
 
70
begin
71
 
72
  O_TXD    <= I_RXD;                    -- loop back
73
  O_FUSP_TXD   <= I_FUSP_RXD;
74
  O_FUSP_RTS_N <= I_FUSP_CTS_N;
75
 
76 15 wfjm
  CRAM : nx_cram_dummy                  -- connect CRAM to protection dummy
77 10 wfjm
    port map (
78
      O_MEM_CE_N  => O_MEM_CE_N,
79
      O_MEM_BE_N  => O_MEM_BE_N,
80
      O_MEM_WE_N  => O_MEM_WE_N,
81
      O_MEM_OE_N  => O_MEM_OE_N,
82
      O_MEM_ADV_N => O_MEM_ADV_N,
83
      O_MEM_CLK   => O_MEM_CLK,
84
      O_MEM_CRE   => O_MEM_CRE,
85
      I_MEM_WAIT  => I_MEM_WAIT,
86
      O_MEM_ADDR  => O_MEM_ADDR,
87
      IO_MEM_DATA => IO_MEM_DATA
88
    );
89 15 wfjm
 
90
  O_FLA_CE_N  <= '1';                   -- keep Flash memory disabled
91 10 wfjm
 
92
end syn;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.