OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.6/] [rtl/] [bplib/] [nexys2/] [tb/] [tb_nexys2_fusp.vhd] - Blame information for rev 24

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 19 wfjm
-- $Id: tb_nexys2_fusp.vhd 476 2013-01-26 22:23:53Z mueller $
2 2 wfjm
--
3 13 wfjm
-- Copyright 2010-2011 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4 2 wfjm
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
-- 
14
------------------------------------------------------------------------------
15
-- Module Name:    tb_nexys2_fusp - sim
16
-- Description:    Test bench for nexys2 (base+fusp)
17
--
18 17 wfjm
-- Dependencies:   simlib/simclk
19
--                 simlib/simclkcnt
20
--                 xlib/dcm_sfs
21
--                 rlink/tb/tbcore_rlink
22 2 wfjm
--                 tb_nexys2_core
23 17 wfjm
--                 serport/serport_uart_rxtx
24 2 wfjm
--                 nexys2_fusp_aif [UUT]
25
--
26
-- To test:        generic, any nexys2_fusp_aif target
27
--
28
-- Target Devices: generic
29 13 wfjm
-- Tool versions:  xst 11.4, 12.1, 13.1; ghdl 0.26-0.29
30 8 wfjm
--
31 2 wfjm
-- Revision History: 
32
-- Date         Rev Version  Comment
33 17 wfjm
-- 2011-12-23   444   3.2    new system clock scheme, new tbcore_rlink iface
34 15 wfjm
-- 2011-11-26   433   3.1.1  remove O_FLA_CE_N from tb_nexys2_core
35
-- 2011-11-21   432   3.1    update O_FLA_CE_N usage
36 13 wfjm
-- 2011-11-19   427   3.0.1  now numeric_std clean
37 9 wfjm
-- 2010-12-29   351   3.0    use rlink/tb now
38 8 wfjm
-- 2010-11-13   338   1.0.2  now dcm aware: add O_CLKSYS, use rritb_core_dcm
39
-- 2010-11-06   336   1.0.1  rename input pin CLK -> I_CLK50
40 2 wfjm
-- 2010-05-28   295   1.0    Initial version (derived from tb_s3board_fusp)
41
------------------------------------------------------------------------------
42
 
43
library ieee;
44
use ieee.std_logic_1164.all;
45 13 wfjm
use ieee.numeric_std.all;
46 2 wfjm
use ieee.std_logic_textio.all;
47
use std.textio.all;
48
 
49
use work.slvtypes.all;
50 9 wfjm
use work.rlinklib.all;
51
use work.rlinktblib.all;
52 19 wfjm
use work.serportlib.all;
53 17 wfjm
use work.xlib.all;
54 2 wfjm
use work.nexys2lib.all;
55
use work.simlib.all;
56
use work.simbus.all;
57 17 wfjm
use work.sys_conf.all;
58 2 wfjm
 
59
entity tb_nexys2_fusp is
60
end tb_nexys2_fusp;
61
 
62
architecture sim of tb_nexys2_fusp is
63
 
64 8 wfjm
  signal CLKOSC : slbit := '0';
65 17 wfjm
  signal CLKCOM : slbit := '0';
66 2 wfjm
 
67 17 wfjm
  signal CLK_STOP : slbit := '0';
68
  signal CLKCOM_CYCLE : integer := 0;
69
 
70 2 wfjm
  signal RESET : slbit := '0';
71
  signal CLKDIV : slv2 := "00";         -- run with 1 clocks / bit !!
72
  signal RXDATA : slv8 := (others=>'0');
73
  signal RXVAL : slbit := '0';
74
  signal RXERR : slbit := '0';
75
  signal RXACT : slbit := '0';
76
  signal TXDATA : slv8 := (others=>'0');
77
  signal TXENA : slbit := '0';
78
  signal TXBUSY : slbit := '0';
79
 
80
  signal RX_HOLD : slbit := '0';
81
 
82
  signal I_RXD : slbit := '1';
83
  signal O_TXD : slbit := '1';
84
  signal I_SWI : slv8 := (others=>'0');
85
  signal I_BTN : slv4 := (others=>'0');
86
  signal O_LED : slv8 := (others=>'0');
87
  signal O_ANO_N : slv4 := (others=>'0');
88
  signal O_SEG_N : slv8 := (others=>'0');
89
 
90
  signal O_MEM_CE_N  : slbit := '1';
91
  signal O_MEM_BE_N  : slv2 := (others=>'1');
92
  signal O_MEM_WE_N  : slbit := '1';
93
  signal O_MEM_OE_N  : slbit := '1';
94
  signal O_MEM_ADV_N : slbit := '1';
95
  signal O_MEM_CLK   : slbit := '0';
96
  signal O_MEM_CRE   : slbit := '0';
97
  signal I_MEM_WAIT  : slbit := '0';
98
  signal O_MEM_ADDR  : slv23 := (others=>'Z');
99
  signal IO_MEM_DATA : slv16 := (others=>'0');
100 15 wfjm
  signal O_FLA_CE_N  : slbit := '0';
101 2 wfjm
 
102
  signal O_FUSP_RTS_N : slbit := '0';
103
  signal I_FUSP_CTS_N : slbit := '0';
104
  signal I_FUSP_RXD : slbit := '1';
105
  signal O_FUSP_TXD : slbit := '1';
106
 
107
  signal UART_RESET : slbit := '0';
108
  signal UART_RXD : slbit := '1';
109
  signal UART_TXD : slbit := '1';
110
  signal CTS_N : slbit := '0';
111
  signal RTS_N : slbit := '0';
112
 
113
  signal R_PORTSEL : slbit := '0';
114
 
115 13 wfjm
  constant sbaddr_portsel: slv8 := slv(to_unsigned( 8,8));
116 2 wfjm
 
117 17 wfjm
  constant clock_period : time :=  20 ns;
118
  constant clock_offset : time := 200 ns;
119 2 wfjm
 
120
begin
121
 
122 17 wfjm
  CLKGEN : simclk
123 2 wfjm
    generic map (
124 17 wfjm
      PERIOD => clock_period,
125
      OFFSET => clock_offset)
126 2 wfjm
    port map (
127 17 wfjm
      CLK      => CLKOSC,
128
      CLK_STOP => CLK_STOP
129 2 wfjm
    );
130 17 wfjm
 
131
  DCM_COM : dcm_sfs
132
    generic map (
133
      CLKFX_DIVIDE   => sys_conf_clkfx_divide,
134
      CLKFX_MULTIPLY => sys_conf_clkfx_multiply,
135
      CLKIN_PERIOD   => 20.0)
136
    port map (
137
      CLKIN   => CLKOSC,
138
      CLKFX   => CLKCOM,
139
      LOCKED  => open
140
    );
141 2 wfjm
 
142 17 wfjm
  CLKCNT : simclkcnt port map (CLK => CLKCOM, CLK_CYCLE => CLKCOM_CYCLE);
143
 
144
  TBCORE : tbcore_rlink
145
    port map (
146
      CLK      => CLKCOM,
147
      CLK_STOP => CLK_STOP,
148
      RX_DATA  => TXDATA,
149
      RX_VAL   => TXENA,
150
      RX_HOLD  => RX_HOLD,
151
      TX_DATA  => RXDATA,
152
      TX_ENA   => RXVAL
153
    );
154
 
155 2 wfjm
  RX_HOLD <= TXBUSY or RTS_N;           -- back preasure for data flow to tb
156
 
157
  N2CORE : entity work.tb_nexys2_core
158
    port map (
159
      I_SWI       => I_SWI,
160
      I_BTN       => I_BTN,
161
      O_MEM_CE_N  => O_MEM_CE_N,
162
      O_MEM_BE_N  => O_MEM_BE_N,
163
      O_MEM_WE_N  => O_MEM_WE_N,
164
      O_MEM_OE_N  => O_MEM_OE_N,
165
      O_MEM_ADV_N => O_MEM_ADV_N,
166
      O_MEM_CLK   => O_MEM_CLK,
167
      O_MEM_CRE   => O_MEM_CRE,
168
      I_MEM_WAIT  => I_MEM_WAIT,
169
      O_MEM_ADDR  => O_MEM_ADDR,
170
      IO_MEM_DATA => IO_MEM_DATA
171
    );
172
 
173
  UUT : nexys2_fusp_aif
174
    port map (
175 8 wfjm
      I_CLK50      => CLKOSC,
176 2 wfjm
      I_RXD        => I_RXD,
177
      O_TXD        => O_TXD,
178
      I_SWI        => I_SWI,
179
      I_BTN        => I_BTN,
180
      O_LED        => O_LED,
181
      O_ANO_N      => O_ANO_N,
182
      O_SEG_N      => O_SEG_N,
183
      O_MEM_CE_N   => O_MEM_CE_N,
184
      O_MEM_BE_N   => O_MEM_BE_N,
185
      O_MEM_WE_N   => O_MEM_WE_N,
186
      O_MEM_OE_N   => O_MEM_OE_N,
187
      O_MEM_ADV_N  => O_MEM_ADV_N,
188
      O_MEM_CLK    => O_MEM_CLK,
189
      O_MEM_CRE    => O_MEM_CRE,
190
      I_MEM_WAIT   => I_MEM_WAIT,
191
      O_MEM_ADDR   => O_MEM_ADDR,
192
      IO_MEM_DATA  => IO_MEM_DATA,
193 15 wfjm
      O_FLA_CE_N   => O_FLA_CE_N,
194 2 wfjm
      O_FUSP_RTS_N => O_FUSP_RTS_N,
195
      I_FUSP_CTS_N => I_FUSP_CTS_N,
196
      I_FUSP_RXD   => I_FUSP_RXD,
197
      O_FUSP_TXD   => O_FUSP_TXD
198
    );
199
 
200
  UART : serport_uart_rxtx
201
    generic map (
202
      CDWIDTH => CLKDIV'length)
203
    port map (
204 17 wfjm
      CLK    => CLKCOM,
205 2 wfjm
      RESET  => UART_RESET,
206
      CLKDIV => CLKDIV,
207
      RXSD   => UART_RXD,
208
      RXDATA => RXDATA,
209
      RXVAL  => RXVAL,
210
      RXERR  => RXERR,
211
      RXACT  => RXACT,
212
      TXSD   => UART_TXD,
213
      TXDATA => TXDATA,
214
      TXENA  => TXENA,
215
      TXBUSY => TXBUSY
216
    );
217
 
218
  proc_port_mux: process (R_PORTSEL, UART_TXD, CTS_N,
219
                          O_TXD, O_FUSP_TXD, O_FUSP_RTS_N)
220
  begin
221
 
222
    if R_PORTSEL = '0' then             -- use main board rs232, no flow cntl
223
      I_RXD        <= UART_TXD;           -- write port 0 inputs
224
      UART_RXD     <= O_TXD;              -- get port 0 outputs
225
      RTS_N        <= '0';
226
      I_FUSP_RXD   <= '1';                -- port 1 inputs to idle state
227
      I_FUSP_CTS_N <= '0';
228
    else                                -- otherwise use pmod1 rs232
229
      I_FUSP_RXD   <= UART_TXD;           -- write port 1 inputs
230
      I_FUSP_CTS_N <= CTS_N;
231
      UART_RXD     <= O_FUSP_TXD;         -- get port 1 outputs
232
      RTS_N        <= O_FUSP_RTS_N;
233
      I_RXD        <= '1';                -- port 0 inputs to idle state
234
    end if;
235
 
236
  end process proc_port_mux;
237
 
238
  proc_moni: process
239
    variable oline : line;
240
  begin
241
 
242
    loop
243 17 wfjm
      wait until rising_edge(CLKCOM);
244 2 wfjm
 
245
      if RXERR = '1' then
246 17 wfjm
        writetimestamp(oline, CLKCOM_CYCLE, " : seen RXERR=1");
247 2 wfjm
        writeline(output, oline);
248
      end if;
249
 
250
    end loop;
251
 
252
  end process proc_moni;
253
 
254
  proc_simbus: process (SB_VAL)
255
  begin
256
    if SB_VAL'event and to_x01(SB_VAL)='1' then
257
      if SB_ADDR = sbaddr_portsel then
258
        R_PORTSEL <= to_x01(SB_DATA(0));
259
      end if;
260
    end if;
261
  end process proc_simbus;
262
 
263
end sim;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.