OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.6/] [rtl/] [bplib/] [nexys2/] [tb/] [tb_nexys2_fusp.vhd] - Blame information for rev 9

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 9 wfjm
-- $Id: tb_nexys2_fusp.vhd 351 2010-12-30 21:50:54Z mueller $
2 2 wfjm
--
3
-- Copyright 2010- by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
-- 
14
------------------------------------------------------------------------------
15
-- Module Name:    tb_nexys2_fusp - sim
16
-- Description:    Test bench for nexys2 (base+fusp)
17
--
18 9 wfjm
-- Dependencies:   vlib/rlink/tb/tbcore_rlink_dcm
19 2 wfjm
--                 tb_nexys2_core
20
--                 vlib/serport/serport_uart_rxtx
21
--                 nexys2_fusp_aif [UUT]
22
--
23
-- To test:        generic, any nexys2_fusp_aif target
24
--
25
-- Target Devices: generic
26 8 wfjm
-- Tool versions:  xst 11.4, 12.1; ghdl 0.26-0.29
27
--
28 2 wfjm
-- Revision History: 
29
-- Date         Rev Version  Comment
30 9 wfjm
-- 2010-12-29   351   3.0    use rlink/tb now
31 8 wfjm
-- 2010-11-13   338   1.0.2  now dcm aware: add O_CLKSYS, use rritb_core_dcm
32
-- 2010-11-06   336   1.0.1  rename input pin CLK -> I_CLK50
33 2 wfjm
-- 2010-05-28   295   1.0    Initial version (derived from tb_s3board_fusp)
34
------------------------------------------------------------------------------
35
 
36
library ieee;
37
use ieee.std_logic_1164.all;
38
use ieee.std_logic_arith.all;
39
use ieee.std_logic_textio.all;
40
use std.textio.all;
41
 
42
use work.slvtypes.all;
43 9 wfjm
use work.rlinklib.all;
44
use work.rlinktblib.all;
45 2 wfjm
use work.serport.all;
46
use work.nexys2lib.all;
47
use work.simlib.all;
48
use work.simbus.all;
49
 
50
entity tb_nexys2_fusp is
51
end tb_nexys2_fusp;
52
 
53
architecture sim of tb_nexys2_fusp is
54
 
55 8 wfjm
  signal CLKOSC : slbit := '0';
56
  signal CLKSYS : slbit := '0';
57 2 wfjm
 
58
  signal RESET : slbit := '0';
59
  signal CLKDIV : slv2 := "00";         -- run with 1 clocks / bit !!
60
  signal RXDATA : slv8 := (others=>'0');
61
  signal RXVAL : slbit := '0';
62
  signal RXERR : slbit := '0';
63
  signal RXACT : slbit := '0';
64
  signal TXDATA : slv8 := (others=>'0');
65
  signal TXENA : slbit := '0';
66
  signal TXBUSY : slbit := '0';
67
 
68
  signal RX_HOLD : slbit := '0';
69
 
70
  signal I_RXD : slbit := '1';
71
  signal O_TXD : slbit := '1';
72
  signal I_SWI : slv8 := (others=>'0');
73
  signal I_BTN : slv4 := (others=>'0');
74
  signal O_LED : slv8 := (others=>'0');
75
  signal O_ANO_N : slv4 := (others=>'0');
76
  signal O_SEG_N : slv8 := (others=>'0');
77
 
78
  signal O_MEM_CE_N  : slbit := '1';
79
  signal O_MEM_BE_N  : slv2 := (others=>'1');
80
  signal O_MEM_WE_N  : slbit := '1';
81
  signal O_MEM_OE_N  : slbit := '1';
82
  signal O_MEM_ADV_N : slbit := '1';
83
  signal O_MEM_CLK   : slbit := '0';
84
  signal O_MEM_CRE   : slbit := '0';
85
  signal I_MEM_WAIT  : slbit := '0';
86
  signal O_FLA_CE_N  : slbit := '0';
87
  signal O_MEM_ADDR  : slv23 := (others=>'Z');
88
  signal IO_MEM_DATA : slv16 := (others=>'0');
89
 
90
  signal O_FUSP_RTS_N : slbit := '0';
91
  signal I_FUSP_CTS_N : slbit := '0';
92
  signal I_FUSP_RXD : slbit := '1';
93
  signal O_FUSP_TXD : slbit := '1';
94
 
95
  signal UART_RESET : slbit := '0';
96
  signal UART_RXD : slbit := '1';
97
  signal UART_TXD : slbit := '1';
98
  signal CTS_N : slbit := '0';
99
  signal RTS_N : slbit := '0';
100
 
101
  signal R_PORTSEL : slbit := '0';
102
 
103
  constant sbaddr_portsel: slv8 := conv_std_logic_vector( 8,8);
104
 
105 8 wfjm
  constant clockosc_period : time :=  20 ns;
106
  constant clockosc_offset : time := 200 ns;
107 2 wfjm
  constant setup_time : time :=  5 ns;
108 8 wfjm
  constant c2out_time : time :=  9 ns;
109 2 wfjm
 
110
begin
111
 
112 9 wfjm
  TBCORE : tbcore_rlink_dcm
113 2 wfjm
    generic map (
114 8 wfjm
      CLKOSC_PERIOD => clockosc_period,
115
      CLKOSC_OFFSET => clockosc_offset,
116 2 wfjm
      SETUP_TIME => setup_time,
117
      C2OUT_TIME => c2out_time)
118
    port map (
119 8 wfjm
      CLKOSC  => CLKOSC,
120
      CLKSYS  => CLKSYS,
121 2 wfjm
      RX_DATA => TXDATA,
122
      RX_VAL  => TXENA,
123
      RX_HOLD => RX_HOLD,
124
      TX_DATA => RXDATA,
125
      TX_ENA  => RXVAL
126
    );
127
 
128
  RX_HOLD <= TXBUSY or RTS_N;           -- back preasure for data flow to tb
129
 
130
  N2CORE : entity work.tb_nexys2_core
131
    port map (
132
      I_SWI       => I_SWI,
133
      I_BTN       => I_BTN,
134
      O_MEM_CE_N  => O_MEM_CE_N,
135
      O_MEM_BE_N  => O_MEM_BE_N,
136
      O_MEM_WE_N  => O_MEM_WE_N,
137
      O_MEM_OE_N  => O_MEM_OE_N,
138
      O_MEM_ADV_N => O_MEM_ADV_N,
139
      O_MEM_CLK   => O_MEM_CLK,
140
      O_MEM_CRE   => O_MEM_CRE,
141
      I_MEM_WAIT  => I_MEM_WAIT,
142
      O_FLA_CE_N  => O_FLA_CE_N,
143
      O_MEM_ADDR  => O_MEM_ADDR,
144
      IO_MEM_DATA => IO_MEM_DATA
145
    );
146
 
147
  UUT : nexys2_fusp_aif
148
    port map (
149 8 wfjm
      I_CLK50      => CLKOSC,
150
      O_CLKSYS     => CLKSYS,
151 2 wfjm
      I_RXD        => I_RXD,
152
      O_TXD        => O_TXD,
153
      I_SWI        => I_SWI,
154
      I_BTN        => I_BTN,
155
      O_LED        => O_LED,
156
      O_ANO_N      => O_ANO_N,
157
      O_SEG_N      => O_SEG_N,
158
      O_MEM_CE_N   => O_MEM_CE_N,
159
      O_MEM_BE_N   => O_MEM_BE_N,
160
      O_MEM_WE_N   => O_MEM_WE_N,
161
      O_MEM_OE_N   => O_MEM_OE_N,
162
      O_MEM_ADV_N  => O_MEM_ADV_N,
163
      O_MEM_CLK    => O_MEM_CLK,
164
      O_MEM_CRE    => O_MEM_CRE,
165
      I_MEM_WAIT   => I_MEM_WAIT,
166
      O_FLA_CE_N   => O_FLA_CE_N,
167
      O_MEM_ADDR   => O_MEM_ADDR,
168
      IO_MEM_DATA  => IO_MEM_DATA,
169
      O_FUSP_RTS_N => O_FUSP_RTS_N,
170
      I_FUSP_CTS_N => I_FUSP_CTS_N,
171
      I_FUSP_RXD   => I_FUSP_RXD,
172
      O_FUSP_TXD   => O_FUSP_TXD
173
    );
174
 
175
  UART : serport_uart_rxtx
176
    generic map (
177
      CDWIDTH => CLKDIV'length)
178
    port map (
179 8 wfjm
      CLK    => CLKSYS,
180 2 wfjm
      RESET  => UART_RESET,
181
      CLKDIV => CLKDIV,
182
      RXSD   => UART_RXD,
183
      RXDATA => RXDATA,
184
      RXVAL  => RXVAL,
185
      RXERR  => RXERR,
186
      RXACT  => RXACT,
187
      TXSD   => UART_TXD,
188
      TXDATA => TXDATA,
189
      TXENA  => TXENA,
190
      TXBUSY => TXBUSY
191
    );
192
 
193
  proc_port_mux: process (R_PORTSEL, UART_TXD, CTS_N,
194
                          O_TXD, O_FUSP_TXD, O_FUSP_RTS_N)
195
  begin
196
 
197
    if R_PORTSEL = '0' then             -- use main board rs232, no flow cntl
198
      I_RXD        <= UART_TXD;           -- write port 0 inputs
199
      UART_RXD     <= O_TXD;              -- get port 0 outputs
200
      RTS_N        <= '0';
201
      I_FUSP_RXD   <= '1';                -- port 1 inputs to idle state
202
      I_FUSP_CTS_N <= '0';
203
    else                                -- otherwise use pmod1 rs232
204
      I_FUSP_RXD   <= UART_TXD;           -- write port 1 inputs
205
      I_FUSP_CTS_N <= CTS_N;
206
      UART_RXD     <= O_FUSP_TXD;         -- get port 1 outputs
207
      RTS_N        <= O_FUSP_RTS_N;
208
      I_RXD        <= '1';                -- port 0 inputs to idle state
209
    end if;
210
 
211
  end process proc_port_mux;
212
 
213
  proc_moni: process
214
    variable oline : line;
215
  begin
216
 
217
    loop
218 8 wfjm
      wait until CLKSYS'event and CLKSYS='1';
219 2 wfjm
      wait for c2out_time;
220
 
221
      if RXERR = '1' then
222
        writetimestamp(oline, SB_CLKCYCLE, " : seen RXERR=1");
223
        writeline(output, oline);
224
      end if;
225
 
226
    end loop;
227
 
228
  end process proc_moni;
229
 
230
  proc_simbus: process (SB_VAL)
231
  begin
232
    if SB_VAL'event and to_x01(SB_VAL)='1' then
233
      if SB_ADDR = sbaddr_portsel then
234
        R_PORTSEL <= to_x01(SB_DATA(0));
235
      end if;
236
    end if;
237
  end process proc_simbus;
238
 
239
end sim;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.