OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.6/] [rtl/] [bplib/] [nexys3/] [nexys3lib.vhd] - Blame information for rev 24

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 20 wfjm
-- $Id: nexys3lib.vhd 509 2013-04-21 20:46:20Z mueller $
2 15 wfjm
--
3 20 wfjm
-- Copyright 2011-2013 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4 15 wfjm
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Package Name:   nexys3lib
16
-- Description:    Nexys 3 components
17
-- 
18
-- Dependencies:   -
19
-- Tool versions:  xst 13.1; ghdl 0.29
20
--
21
-- Revision History: 
22
-- Date         Rev Version  Comment
23 20 wfjm
-- 2013-04-21   509   1.1    add nexys3_cuff_aif, nexys3_fusp_cuff_aif
24 15 wfjm
-- 2011-11-25   432   1.0    Initial version 
25
------------------------------------------------------------------------------
26
 
27
library ieee;
28
use ieee.std_logic_1164.all;
29
 
30
use work.slvtypes.all;
31
 
32
package nexys3lib is
33
 
34
component nexys3_aif is                 -- NEXYS 3, abstract iface, base
35
  port (
36
    I_CLK100 : in slbit;                -- 100 MHz clock
37
    I_RXD : in slbit;                   -- receive data (board view)
38
    O_TXD : out slbit;                  -- transmit data (board view)
39
    I_SWI : in slv8;                    -- n3 switches
40
    I_BTN : in slv5;                    -- n3 buttons
41
    O_LED : out slv8;                   -- n3 leds
42
    O_ANO_N : out slv4;                 -- 7 segment disp: anodes   (act.low)
43
    O_SEG_N : out slv8;                 -- 7 segment disp: segments (act.low)
44
    O_MEM_CE_N : out slbit;             -- cram: chip enable   (act.low)
45
    O_MEM_BE_N : out slv2;              -- cram: byte enables  (act.low)
46
    O_MEM_WE_N : out slbit;             -- cram: write enable  (act.low)
47
    O_MEM_OE_N : out slbit;             -- cram: output enable (act.low)
48
    O_MEM_ADV_N  : out slbit;           -- cram: address valid (act.low)
49
    O_MEM_CLK : out slbit;              -- cram: clock
50
    O_MEM_CRE : out slbit;              -- cram: command register enable
51
    I_MEM_WAIT : in slbit;              -- cram: mem wait
52
    O_MEM_ADDR  : out slv23;            -- cram: address lines
53
    IO_MEM_DATA : inout slv16;          -- cram: data lines
54
    O_PPCM_CE_N : out slbit;            -- ppcm: ...
55
    O_PPCM_RST_N : out slbit            -- ppcm: ...
56
  );
57
end component;
58
 
59 20 wfjm
component nexys3_fusp_aif is           -- NEXYS 3, abstract iface, base+fusp
60 15 wfjm
  port (
61
    I_CLK100 : in slbit;                -- 100 MHz clock
62
    I_RXD : in slbit;                   -- receive data (board view)
63
    O_TXD : out slbit;                  -- transmit data (board view)
64
    I_SWI : in slv8;                    -- n3 switches
65
    I_BTN : in slv5;                    -- n3 buttons
66
    O_LED : out slv8;                   -- n3 leds
67
    O_ANO_N : out slv4;                 -- 7 segment disp: anodes   (act.low)
68
    O_SEG_N : out slv8;                 -- 7 segment disp: segments (act.low)
69
    O_MEM_CE_N : out slbit;             -- cram: chip enable   (act.low)
70
    O_MEM_BE_N : out slv2;              -- cram: byte enables  (act.low)
71
    O_MEM_WE_N : out slbit;             -- cram: write enable  (act.low)
72
    O_MEM_OE_N : out slbit;             -- cram: output enable (act.low)
73
    O_MEM_ADV_N  : out slbit;           -- cram: address valid (act.low)
74
    O_MEM_CLK : out slbit;              -- cram: clock
75
    O_MEM_CRE : out slbit;              -- cram: command register enable
76
    I_MEM_WAIT : in slbit;              -- cram: mem wait
77
    O_MEM_ADDR  : out slv23;            -- cram: address lines
78
    IO_MEM_DATA : inout slv16;          -- cram: data lines
79
    O_PPCM_CE_N : out slbit;            -- ppcm: ...
80
    O_PPCM_RST_N : out slbit;           -- ppcm: ...
81
    O_FUSP_RTS_N : out slbit;           -- fusp: rs232 rts_n
82
    I_FUSP_CTS_N : in slbit;            -- fusp: rs232 cts_n
83
    I_FUSP_RXD : in slbit;              -- fusp: rs232 rx
84
    O_FUSP_TXD : out slbit              -- fusp: rs232 tx
85
  );
86
end component;
87
 
88 20 wfjm
component nexys3_cuff_aif is            -- NEXYS 3, abstract iface, base+cuff
89
  port (
90
    I_CLK100 : in slbit;                -- 100 MHz clock
91
    I_RXD : in slbit;                   -- receive data (board view)
92
    O_TXD : out slbit;                  -- transmit data (board view)
93
    I_SWI : in slv8;                    -- n3 switches
94
    I_BTN : in slv5;                    -- n3 buttons
95
    O_LED : out slv8;                   -- n3 leds
96
    O_ANO_N : out slv4;                 -- 7 segment disp: anodes   (act.low)
97
    O_SEG_N : out slv8;                 -- 7 segment disp: segments (act.low)
98
    O_MEM_CE_N : out slbit;             -- cram: chip enable   (act.low)
99
    O_MEM_BE_N : out slv2;              -- cram: byte enables  (act.low)
100
    O_MEM_WE_N : out slbit;             -- cram: write enable  (act.low)
101
    O_MEM_OE_N : out slbit;             -- cram: output enable (act.low)
102
    O_MEM_ADV_N  : out slbit;           -- cram: address valid (act.low)
103
    O_MEM_CLK : out slbit;              -- cram: clock
104
    O_MEM_CRE : out slbit;              -- cram: command register enable
105
    I_MEM_WAIT : in slbit;              -- cram: mem wait
106
    O_MEM_ADDR  : out slv23;            -- cram: address lines
107
    IO_MEM_DATA : inout slv16;          -- cram: data lines
108
    O_PPCM_CE_N : out slbit;            -- ppcm: ...
109
    O_PPCM_RST_N : out slbit;           -- ppcm: ...
110
    I_FX2_IFCLK : in slbit;             -- fx2: interface clock
111
    O_FX2_FIFO : out slv2;              -- fx2: fifo address
112
    I_FX2_FLAG : in slv4;               -- fx2: fifo flags
113
    O_FX2_SLRD_N : out slbit;           -- fx2: read enable    (act.low)
114
    O_FX2_SLWR_N : out slbit;           -- fx2: write enable   (act.low)
115
    O_FX2_SLOE_N : out slbit;           -- fx2: output enable  (act.low)
116
    O_FX2_PKTEND_N : out slbit;         -- fx2: packet end     (act.low)
117
    IO_FX2_DATA : inout slv8            -- fx2: data lines
118
  );
119
end component;
120
 
121
component nexys3_fusp_cuff_aif is       -- NEXYS 3, abstract iface, +fusp+cuff
122
  port (
123
    I_CLK100 : in slbit;                -- 100 MHz clock
124
    I_RXD : in slbit;                   -- receive data (board view)
125
    O_TXD : out slbit;                  -- transmit data (board view)
126
    I_SWI : in slv8;                    -- n3 switches
127
    I_BTN : in slv5;                    -- n3 buttons
128
    O_LED : out slv8;                   -- n3 leds
129
    O_ANO_N : out slv4;                 -- 7 segment disp: anodes   (act.low)
130
    O_SEG_N : out slv8;                 -- 7 segment disp: segments (act.low)
131
    O_MEM_CE_N : out slbit;             -- cram: chip enable   (act.low)
132
    O_MEM_BE_N : out slv2;              -- cram: byte enables  (act.low)
133
    O_MEM_WE_N : out slbit;             -- cram: write enable  (act.low)
134
    O_MEM_OE_N : out slbit;             -- cram: output enable (act.low)
135
    O_MEM_ADV_N  : out slbit;           -- cram: address valid (act.low)
136
    O_MEM_CLK : out slbit;              -- cram: clock
137
    O_MEM_CRE : out slbit;              -- cram: command register enable
138
    I_MEM_WAIT : in slbit;              -- cram: mem wait
139
    O_MEM_ADDR  : out slv23;            -- cram: address lines
140
    IO_MEM_DATA : inout slv16;          -- cram: data lines
141
    O_PPCM_CE_N : out slbit;            -- ppcm: ...
142
    O_PPCM_RST_N : out slbit;           -- ppcm: ...
143
    O_FUSP_RTS_N : out slbit;           -- fusp: rs232 rts_n
144
    I_FUSP_CTS_N : in slbit;            -- fusp: rs232 cts_n
145
    I_FUSP_RXD : in slbit;              -- fusp: rs232 rx
146
    O_FUSP_TXD : out slbit;             -- fusp: rs232 tx
147
    I_FX2_IFCLK : in slbit;             -- fx2: interface clock
148
    O_FX2_FIFO : out slv2;              -- fx2: fifo address
149
    I_FX2_FLAG : in slv4;               -- fx2: fifo flags
150
    O_FX2_SLRD_N : out slbit;           -- fx2: read enable    (act.low)
151
    O_FX2_SLWR_N : out slbit;           -- fx2: write enable   (act.low)
152
    O_FX2_SLOE_N : out slbit;           -- fx2: output enable  (act.low)
153
    O_FX2_PKTEND_N : out slbit;         -- fx2: packet end     (act.low)
154
    IO_FX2_DATA : inout slv8            -- fx2: data lines
155
  );
156
end component;
157
 
158 15 wfjm
end package nexys3lib;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.