OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.6/] [rtl/] [bplib/] [s3board/] [s3_sram_dummy.vhd] - Blame information for rev 24

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 13 wfjm
-- $Id: s3_sram_dummy.vhd 426 2011-11-18 18:14:08Z mueller $
2 2 wfjm
--
3
-- Copyright 2007-2010 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
-- 
14
------------------------------------------------------------------------------
15
-- Module Name:    s3_sram_dummy - syn
16
-- Description:    s3board: SRAM protection dummy
17
--
18
-- Dependencies:   -
19
-- Test bench:     -
20
-- Target Devices: generic
21
-- Tool versions:  xst 8.1, 8.2, 9.1, 9.2, 11.4; ghdl 0.18-0.26
22
-- Revision History: 
23
-- Date         Rev Version  Comment
24
-- 2010-04-17   278   1.0.2  renamed from sram_dummy
25
-- 2007-12-09   101   1.0.1  use _N for active low
26
-- 2007-12-08   100   1.0    Initial version 
27
------------------------------------------------------------------------------
28
 
29
library ieee;
30
use ieee.std_logic_1164.all;
31
 
32
use work.slvtypes.all;
33
 
34
entity s3_sram_dummy is                 -- SRAM protection dummy
35
  port (
36
    O_MEM_CE_N : out slv2;              -- sram: chip enables  (act.low)
37
    O_MEM_BE_N : out slv4;              -- sram: byte enables  (act.low)
38
    O_MEM_WE_N : out slbit;             -- sram: write enable  (act.low)
39
    O_MEM_OE_N : out slbit;             -- sram: output enable (act.low)
40
    O_MEM_ADDR  : out slv18;            -- sram: address lines
41
    IO_MEM_DATA : inout slv32           -- sram: data lines
42
  );
43
end s3_sram_dummy;
44
 
45
 
46
architecture syn of s3_sram_dummy is
47
begin
48
 
49
  O_MEM_CE_N <= "11";                   -- disable sram chips
50
  O_MEM_BE_N <= "1111";
51
  O_MEM_WE_N <= '1';
52
  O_MEM_OE_N <= '1';
53
  O_MEM_ADDR  <= (others=>'0');
54
  IO_MEM_DATA <= (others=>'0');
55
 
56
end syn;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.