OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.6/] [rtl/] [ibus/] [ib_intmap.vhd] - Blame information for rev 24

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 13 wfjm
-- $Id: ib_intmap.vhd 427 2011-11-19 21:04:11Z mueller $
2 2 wfjm
--
3 13 wfjm
-- Copyright 2006-2011 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4 2 wfjm
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Module Name:    ib_intmap - syn
16
-- Description:    pdp11: external interrupt mapper
17
--
18
-- Dependencies:   -
19
-- Test bench:     tb/tb_pdp11_core (implicit)
20
-- Target Devices: generic
21 13 wfjm
-- Tool versions:  xst 8.2, 9.1, 9.2, 13.1; ghdl 0.18-0.29
22 2 wfjm
-- Revision History: 
23
-- Date         Rev Version  Comment
24 13 wfjm
-- 2011-11-18   427   1.2.2  now numeric_std clean
25 2 wfjm
-- 2008-08-22   161   1.2.1  renamed pdp11_ -> ib_; use iblib
26
-- 2008-01-20   112   1.2    add INTMAP generic to externalize config
27
-- 2008-01-06   111   1.1    add EI_ACK output lines, remove EI_LINE
28
-- 2007-10-12    88   1.0.2  avoid ieee.std_logic_unsigned, use cast to unsigned
29
-- 2007-06-14    56   1.0.1  Use slvtypes.all
30
-- 2007-05-12    26   1.0    Initial version 
31
------------------------------------------------------------------------------
32
 
33
library ieee;
34
use ieee.std_logic_1164.all;
35 13 wfjm
use ieee.numeric_std.all;
36 2 wfjm
 
37
use work.slvtypes.all;
38
use work.iblib.all;
39
 
40
-- ----------------------------------------------------------------------------
41
 
42
entity ib_intmap is                     -- external interrupt mapper
43
  generic (
44
    INTMAP : intmap_array_type := intmap_array_init);
45
  port (
46
    EI_REQ : in slv16_1;                -- interrupt request lines
47
    EI_ACKM : in slbit;                 -- interrupt acknowledge (from master)
48
    EI_ACK : out slv16_1;               -- interrupt acknowledge (to requestor)
49
    EI_PRI : out slv3;                  -- interrupt priority
50
    EI_VECT : out slv9_2                -- interrupt vector
51
  );
52
end ib_intmap;
53
 
54
architecture syn of ib_intmap is
55
 
56
  signal EI_LINE : slv4 := (others=>'0');    -- external interrupt line
57
 
58
  type intp_type is array (15 downto 0) of slv3;
59
  type intv_type is array (15 downto 0) of slv9;
60
 
61
  constant conf_intp : intp_type :=
62 13 wfjm
    (slv(to_unsigned(INTMAP(15).pri,3)),  -- line 15
63
     slv(to_unsigned(INTMAP(14).pri,3)),  -- line 14
64
     slv(to_unsigned(INTMAP(13).pri,3)),  -- line 13
65
     slv(to_unsigned(INTMAP(12).pri,3)),  -- line 12
66
     slv(to_unsigned(INTMAP(11).pri,3)),  -- line 11
67
     slv(to_unsigned(INTMAP(10).pri,3)),  -- line 10
68
     slv(to_unsigned(INTMAP( 9).pri,3)),  -- line  9
69
     slv(to_unsigned(INTMAP( 8).pri,3)),  -- line  8
70
     slv(to_unsigned(INTMAP( 7).pri,3)),  -- line  7
71
     slv(to_unsigned(INTMAP( 6).pri,3)),  -- line  6
72
     slv(to_unsigned(INTMAP( 5).pri,3)),  -- line  5
73
     slv(to_unsigned(INTMAP( 4).pri,3)),  -- line  4
74
     slv(to_unsigned(INTMAP( 3).pri,3)),  -- line  3
75
     slv(to_unsigned(INTMAP( 2).pri,3)),  -- line  2
76
     slv(to_unsigned(INTMAP( 1).pri,3)),  -- line  1     
77
     slv(to_unsigned(             0,3))   -- line  0 (always 0 !!)
78 2 wfjm
     );
79
 
80
  constant conf_intv : intv_type :=
81 13 wfjm
    (slv(to_unsigned(INTMAP(15).vec,9)),  -- line 15
82
     slv(to_unsigned(INTMAP(14).vec,9)),  -- line 14
83
     slv(to_unsigned(INTMAP(13).vec,9)),  -- line 13
84
     slv(to_unsigned(INTMAP(12).vec,9)),  -- line 12
85
     slv(to_unsigned(INTMAP(11).vec,9)),  -- line 11
86
     slv(to_unsigned(INTMAP(10).vec,9)),  -- line 10
87
     slv(to_unsigned(INTMAP( 9).vec,9)),  -- line  9
88
     slv(to_unsigned(INTMAP( 8).vec,9)),  -- line  8
89
     slv(to_unsigned(INTMAP( 7).vec,9)),  -- line  7
90
     slv(to_unsigned(INTMAP( 6).vec,9)),  -- line  6
91
     slv(to_unsigned(INTMAP( 5).vec,9)),  -- line  5
92
     slv(to_unsigned(INTMAP( 4).vec,9)),  -- line  4
93
     slv(to_unsigned(INTMAP( 3).vec,9)),  -- line  3
94
     slv(to_unsigned(INTMAP( 2).vec,9)),  -- line  2
95
     slv(to_unsigned(INTMAP( 1).vec,9)),  -- line  1     
96
     slv(to_unsigned(             0,9))   -- line  0 (always 0 !!)
97 2 wfjm
     );
98
 
99
--  attribute PRIORITY_EXTRACT : string;
100
--  attribute PRIORITY_EXTRACT of EI_LINE : signal is "force";
101
 
102
begin
103
 
104
  EI_LINE <= "1111" when EI_REQ(15)='1' else
105
             "1110" when EI_REQ(14)='1' else
106
             "1101" when EI_REQ(13)='1' else
107
             "1100" when EI_REQ(12)='1' else
108
             "1011" when EI_REQ(11)='1' else
109
             "1010" when EI_REQ(10)='1' else
110
             "1001" when EI_REQ( 9)='1' else
111
             "1000" when EI_REQ( 8)='1' else
112
             "0111" when EI_REQ( 7)='1' else
113
             "0110" when EI_REQ( 6)='1' else
114
             "0101" when EI_REQ( 5)='1' else
115
             "0100" when EI_REQ( 4)='1' else
116
             "0011" when EI_REQ( 3)='1' else
117
             "0010" when EI_REQ( 2)='1' else
118
             "0001" when EI_REQ( 1)='1' else
119
             "0000";
120
 
121
  proc_intmap : process (EI_LINE, EI_ACKM)
122
    variable iline : integer := 0;
123
    variable iei_ack : slv16 := (others=>'0');
124
  begin
125
 
126 13 wfjm
    iline := to_integer(unsigned(EI_LINE));
127 2 wfjm
 
128
    iei_ack := (others=>'0');
129
    if EI_ACKM = '1' then
130
      iei_ack(iline) := '1';
131
    end if;
132
 
133
    EI_ACK  <= iei_ack(EI_ACK'range);
134
    EI_PRI  <= conf_intp(iline);
135
    EI_VECT <= conf_intv(iline)(8 downto 2);
136
 
137
  end process proc_intmap;
138
 
139
end syn;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.