OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.6/] [rtl/] [ibus/] [ib_sres_or_2.vhd] - Blame information for rev 24

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 8 wfjm
-- $Id: ib_sres_or_2.vhd 335 2010-10-24 22:24:23Z mueller $
2 2 wfjm
--
3 8 wfjm
-- Copyright 2007-2010 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4 2 wfjm
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Module Name:    ib_sres_or_2 - syn
16
-- Description:    ibus: result or, 2 input
17
--
18
-- Dependencies:   -
19
-- Test bench:     tb/tb_pdp11_core (implicit)
20
-- Target Devices: generic
21 8 wfjm
-- Tool versions:  xst 8.1, 8.2, 9.1, 9.2, 12.1; ghdl 0.18-0.29
22
--
23 2 wfjm
-- Revision History: 
24
-- Date         Rev Version  Comment
25 8 wfjm
-- 2010-10-23   335   1.1    add ib_sres_or_mon
26 2 wfjm
-- 2008-08-22   161   1.0.2  renamed pdp11_ibres_ -> ib_sres_; use iblib
27
-- 2008-01-05   110   1.0.1  rename IB_MREQ(ena->req) SRES(sel->ack, hold->busy)
28
-- 2007-12-29   107   1.0    Initial version 
29
------------------------------------------------------------------------------
30
 
31
library ieee;
32
use ieee.std_logic_1164.all;
33
 
34
use work.slvtypes.all;
35
use work.iblib.all;
36
 
37
-- ----------------------------------------------------------------------------
38
 
39
entity ib_sres_or_2 is                  -- ibus result or, 2 input
40
  port (
41
    IB_SRES_1 :  in ib_sres_type;                 -- ib_sres input 1
42
    IB_SRES_2 :  in ib_sres_type := ib_sres_init; -- ib_sres input 2
43
    IB_SRES_OR : out ib_sres_type       -- ib_sres or'ed output
44
  );
45
end ib_sres_or_2;
46
 
47
architecture syn of ib_sres_or_2 is
48
 
49
begin
50
 
51
  proc_comb : process (IB_SRES_1, IB_SRES_2)
52
  begin
53
 
54
    IB_SRES_OR.ack  <= IB_SRES_1.ack or
55
                       IB_SRES_2.ack;
56
    IB_SRES_OR.busy <= IB_SRES_1.busy or
57
                       IB_SRES_2.busy;
58
    IB_SRES_OR.dout <= IB_SRES_1.dout or
59
                       IB_SRES_2.dout;
60
 
61
  end process proc_comb;
62
 
63 8 wfjm
-- synthesis translate_off
64
  ORMON : ib_sres_or_mon
65
    port map (
66
      IB_SRES_1 => IB_SRES_1,
67
      IB_SRES_2 => IB_SRES_2,
68
      IB_SRES_3 => ib_sres_init,
69
      IB_SRES_4 => ib_sres_init
70
    );
71
-- synthesis translate_on
72
 
73 2 wfjm
end syn;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.