OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.6/] [rtl/] [sys_gen/] [tst_rlink/] [nexys2/] [sys_tst_rlink_n2.vhd] - Blame information for rev 24

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 19 wfjm
-- $Id: sys_tst_rlink_n2.vhd 476 2013-01-26 22:23:53Z mueller $
2 10 wfjm
--
3
-- Copyright 2010-2011 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Module Name:    sys_tst_rlink_n2 - syn
16
-- Description:    rlink tester design for nexys2
17
--
18 13 wfjm
-- Dependencies:   vlib/xlib/dcm_sfs
19 10 wfjm
--                 vlib/genlib/clkdivce
20 12 wfjm
--                 bplib/bpgen/bp_rs232_2l4l_iob
21
--                 bplib/bpgen/sn_humanio_rbus
22 16 wfjm
--                 vlib/rlink/rlink_sp1c
23
--                 rbd_tst_rlink
24
--                 vlib/rbus/rb_sres_or_2
25 15 wfjm
--                 vlib/nxcramlib/nx_cram_dummy
26 10 wfjm
--
27
-- Test bench:     tb/tb_tst_rlink_n2
28
--
29
-- Target Devices: generic
30 13 wfjm
-- Tool versions:  xst 12.1, 13.1; ghdl 0.29
31 10 wfjm
--
32
-- Synthesized (xst):
33
-- Date         Rev  ise         Target      flop lutl lutm slic t peri
34 17 wfjm
-- 2012-12-27   453 13.3    O76d xc3s1200e-4  754 1605   96 1057 t 14.5
35 16 wfjm
-- 2011-12-18   440 13.1    O40d xc3s1200e-4  754 1605   96 1057 t 16.8
36 12 wfjm
-- 2011-06-26   385 12.1    M53d xc3s1200e-4  688 1500   68  993 t 16.2
37 10 wfjm
-- 2011-04-02   375 12.1    M53d xc3s1200e-4  688 1572   68  994 t 13.8
38
-- 2010-12-29   351 12.1    M53d xc3s1200e-4  604 1298   68  851 t 14.7
39
--
40
-- Revision History: 
41
-- Date         Rev Version  Comment
42 17 wfjm
-- 2011-12-23   444   1.2    remove clksys output hack
43 16 wfjm
-- 2011-12-18   440   1.1.6  use now rbd_tst_rlink and rlink_sp1c
44 15 wfjm
-- 2011-11-26   433   1.1.5  use nx_cram_dummy now
45
-- 2011-11-23   432   1.1.4  update O_FLA_CE_N usage
46 13 wfjm
-- 2011-11-17   426   1.1.3  use dcm_sfs now
47 12 wfjm
-- 2011-07-09   391   1.1.2  use now bp_rs232_2l4l_iob
48
-- 2011-07-08   390   1.1.1  use now sn_humanio
49
-- 2011-06-26   385   1.1    move s3_humanio_rbus from tst_rlink to top level
50 10 wfjm
-- 2010-12-29   351   1.0    Initial version
51
------------------------------------------------------------------------------
52 12 wfjm
-- Usage of Nexys 2 Switches, Buttons, LEDs:
53 10 wfjm
--
54 17 wfjm
--    SWI(7:2)  no function (only connected to sn_humanio_rbus)
55
--       (1)    1 enable XON
56
--       (0)    0 -> main board RS232 port  - implemented in bp_rs232_2l4l_iob
57 12 wfjm
--              1 -> Pmod B/top RS232 port  /
58
--
59 17 wfjm
--    LED(7)    SER_MONI.abact
60
--       (6:2)  no function (only connected to sn_humanio_rbus)
61
--       (0)    timer 0 busy 
62
--       (1)    timer 1 busy 
63 12 wfjm
--
64 16 wfjm
--    DSP:      SER_MONI.clkdiv         (from auto bauder)
65 17 wfjm
--    DP(3)     not SER_MONI.txok       (shows tx back preasure)
66
--      (2)     SER_MONI.txact          (shows tx activity)
67
--      (1)     not SER_MONI.rxok       (shows rx back preasure)
68
--      (0)     SER_MONI.rxact          (shows rx activity)
69 12 wfjm
--
70 10 wfjm
 
71
library ieee;
72
use ieee.std_logic_1164.all;
73
 
74
use work.slvtypes.all;
75
use work.xlib.all;
76
use work.genlib.all;
77 19 wfjm
use work.serportlib.all;
78 12 wfjm
use work.rblib.all;
79
use work.rlinklib.all;
80
use work.bpgenlib.all;
81 19 wfjm
use work.bpgenrbuslib.all;
82 15 wfjm
use work.nxcramlib.all;
83 10 wfjm
use work.sys_conf.all;
84
 
85
-- ----------------------------------------------------------------------------
86
 
87
entity sys_tst_rlink_n2 is              -- top level
88
                                        -- implements nexys2_fusp_aif
89
  port (
90
    I_CLK50 : in slbit;                 -- 50 MHz clock
91
    I_RXD : in slbit;                   -- receive data (board view)
92
    O_TXD : out slbit;                  -- transmit data (board view)
93 15 wfjm
    I_SWI : in slv8;                    -- n2 switches
94
    I_BTN : in slv4;                    -- n2 buttons
95
    O_LED : out slv8;                   -- n2 leds
96 10 wfjm
    O_ANO_N : out slv4;                 -- 7 segment disp: anodes   (act.low)
97
    O_SEG_N : out slv8;                 -- 7 segment disp: segments (act.low)
98
    O_MEM_CE_N : out slbit;             -- cram: chip enable   (act.low)
99
    O_MEM_BE_N : out slv2;              -- cram: byte enables  (act.low)
100
    O_MEM_WE_N : out slbit;             -- cram: write enable  (act.low)
101
    O_MEM_OE_N : out slbit;             -- cram: output enable (act.low)
102
    O_MEM_ADV_N  : out slbit;           -- cram: address valid (act.low)
103
    O_MEM_CLK : out slbit;              -- cram: clock
104
    O_MEM_CRE : out slbit;              -- cram: command register enable
105
    I_MEM_WAIT : in slbit;              -- cram: mem wait
106
    O_MEM_ADDR  : out slv23;            -- cram: address lines
107
    IO_MEM_DATA : inout slv16;          -- cram: data lines
108 15 wfjm
    O_FLA_CE_N : out slbit;             -- flash ce..          (act.low)
109 10 wfjm
    O_FUSP_RTS_N : out slbit;           -- fusp: rs232 rts_n
110
    I_FUSP_CTS_N : in slbit;            -- fusp: rs232 cts_n
111
    I_FUSP_RXD : in slbit;              -- fusp: rs232 rx
112
    O_FUSP_TXD : out slbit              -- fusp: rs232 tx
113
  );
114
end sys_tst_rlink_n2;
115
 
116
architecture syn of sys_tst_rlink_n2 is
117
 
118
  signal CLK :   slbit := '0';
119
 
120
  signal RXD :   slbit := '1';
121
  signal TXD :   slbit := '0';
122
  signal RTS_N : slbit := '0';
123
  signal CTS_N : slbit := '0';
124
 
125
  signal SWI     : slv8  := (others=>'0');
126
  signal BTN     : slv4  := (others=>'0');
127 12 wfjm
  signal LED     : slv8  := (others=>'0');
128
  signal DSP_DAT : slv16 := (others=>'0');
129
  signal DSP_DP  : slv4  := (others=>'0');
130 10 wfjm
 
131
  signal RESET   : slbit := '0';
132
  signal CE_USEC : slbit := '0';
133
  signal CE_MSEC : slbit := '0';
134
 
135 16 wfjm
  signal RB_MREQ : rb_mreq_type := rb_mreq_init;
136
  signal RB_SRES : rb_sres_type := rb_sres_init;
137
  signal RB_SRES_HIO : rb_sres_type := rb_sres_init;
138
  signal RB_SRES_TST : rb_sres_type := rb_sres_init;
139
 
140
  signal RB_LAM  : slv16 := (others=>'0');
141
  signal RB_STAT : slv3  := (others=>'0');
142
 
143
  signal SER_MONI : serport_moni_type := serport_moni_init;
144 12 wfjm
  signal STAT    : slv8  := (others=>'0');
145
 
146
  constant rbaddr_hio   : slv8 := "11000000"; -- 110000xx
147
 
148 10 wfjm
begin
149
 
150
  assert (sys_conf_clksys mod 1000000) = 0
151
    report "assert sys_conf_clksys on MHz grid"
152
    severity failure;
153 12 wfjm
 
154
  RESET <= '0';                         -- so far not used
155 10 wfjm
 
156 13 wfjm
  DCM : dcm_sfs
157 10 wfjm
    generic map (
158
      CLKFX_DIVIDE   => sys_conf_clkfx_divide,
159
      CLKFX_MULTIPLY => sys_conf_clkfx_multiply,
160
      CLKIN_PERIOD   => 20.0)
161
    port map (
162
      CLKIN   => I_CLK50,
163
      CLKFX   => CLK,
164
      LOCKED  => open
165
    );
166
 
167
  CLKDIV : clkdivce
168
    generic map (
169
      CDUWIDTH => 7,
170
      USECDIV  => sys_conf_clksys_mhz,
171
      MSECDIV  => 1000)
172
    port map (
173
      CLK     => CLK,
174
      CE_USEC => CE_USEC,
175
      CE_MSEC => CE_MSEC
176
    );
177
 
178 12 wfjm
  IOB_RS232 : bp_rs232_2l4l_iob
179 10 wfjm
    port map (
180
      CLK      => CLK,
181 12 wfjm
      RESET    => '0',
182 10 wfjm
      SEL      => SWI(0),
183
      RXD      => RXD,
184
      TXD      => TXD,
185
      CTS_N    => CTS_N,
186
      RTS_N    => RTS_N,
187
      I_RXD0   => I_RXD,
188
      O_TXD0   => O_TXD,
189
      I_RXD1   => I_FUSP_RXD,
190
      O_TXD1   => O_FUSP_TXD,
191
      I_CTS1_N => I_FUSP_CTS_N,
192
      O_RTS1_N => O_FUSP_RTS_N
193
    );
194
 
195 12 wfjm
  HIO : sn_humanio_rbus
196 10 wfjm
    generic map (
197
      DEBOUNCE => sys_conf_hio_debounce,
198 12 wfjm
      RB_ADDR  => rbaddr_hio)
199 10 wfjm
    port map (
200
      CLK     => CLK,
201
      RESET   => RESET,
202
      CE_MSEC => CE_MSEC,
203 16 wfjm
      RB_MREQ => RB_MREQ,
204
      RB_SRES => RB_SRES_HIO,
205 10 wfjm
      SWI     => SWI,
206
      BTN     => BTN,
207 12 wfjm
      LED     => LED,
208
      DSP_DAT => DSP_DAT,
209
      DSP_DP  => DSP_DP,
210 10 wfjm
      I_SWI   => I_SWI,
211
      I_BTN   => I_BTN,
212
      O_LED   => O_LED,
213
      O_ANO_N => O_ANO_N,
214
      O_SEG_N => O_SEG_N
215
    );
216
 
217 16 wfjm
  RLINK : rlink_sp1c
218 12 wfjm
    generic map (
219 16 wfjm
      ATOWIDTH     => 6,
220
      ITOWIDTH     => 6,
221
      CPREF        => c_rlink_cpref,
222
      IFAWIDTH     => 5,
223
      OFAWIDTH     => 5,
224
      ENAPIN_RLMON => sbcntl_sbf_rlmon,
225
      ENAPIN_RBMON => sbcntl_sbf_rbmon,
226
      CDWIDTH      => 15,
227
      CDINIT       => sys_conf_ser2rri_cdinit)
228 12 wfjm
    port map (
229 16 wfjm
      CLK      => CLK,
230
      CE_USEC  => CE_USEC,
231
      CE_MSEC  => CE_MSEC,
232
      CE_INT   => CE_MSEC,
233
      RESET    => RESET,
234
      ENAXON   => SWI(1),
235
      ENAESC   => SWI(1),
236
      RXSD     => RXD,
237
      TXSD     => TXD,
238
      CTS_N    => CTS_N,
239
      RTS_N    => RTS_N,
240
      RB_MREQ  => RB_MREQ,
241
      RB_SRES  => RB_SRES,
242
      RB_LAM   => RB_LAM,
243
      RB_STAT  => RB_STAT,
244
      RL_MONI  => open,
245
      SER_MONI => SER_MONI
246
    );
247
 
248
  RBDTST : entity work.rbd_tst_rlink
249
    port map (
250 12 wfjm
      CLK         => CLK,
251
      RESET       => RESET,
252
      CE_USEC     => CE_USEC,
253 16 wfjm
      RB_MREQ     => RB_MREQ,
254
      RB_SRES     => RB_SRES_TST,
255
      RB_LAM      => RB_LAM,
256
      RB_STAT     => RB_STAT,
257
      RB_SRES_TOP => RB_SRES,
258
      RXSD        => RXD,
259
      RXACT       => SER_MONI.rxact,
260 12 wfjm
      STAT        => STAT
261
    );
262
 
263 16 wfjm
  RB_SRES_OR1 : rb_sres_or_2
264
    port map (
265
      RB_SRES_1  => RB_SRES_HIO,
266
      RB_SRES_2  => RB_SRES_TST,
267
      RB_SRES_OR => RB_SRES
268
    );
269
 
270 15 wfjm
  SRAM_PROT : nx_cram_dummy            -- connect CRAM to protection dummy
271 10 wfjm
    port map (
272
      O_MEM_CE_N  => O_MEM_CE_N,
273
      O_MEM_BE_N  => O_MEM_BE_N,
274
      O_MEM_WE_N  => O_MEM_WE_N,
275
      O_MEM_OE_N  => O_MEM_OE_N,
276
      O_MEM_ADV_N => O_MEM_ADV_N,
277
      O_MEM_CLK   => O_MEM_CLK,
278
      O_MEM_CRE   => O_MEM_CRE,
279
      I_MEM_WAIT  => I_MEM_WAIT,
280
      O_MEM_ADDR  => O_MEM_ADDR,
281
      IO_MEM_DATA => IO_MEM_DATA
282
    );
283 12 wfjm
 
284 15 wfjm
  O_FLA_CE_N  <= '1';                   -- keep Flash memory disabled
285
 
286 16 wfjm
  DSP_DAT   <= SER_MONI.abclkdiv;
287 12 wfjm
 
288 16 wfjm
  DSP_DP(3) <= not SER_MONI.txok;
289
  DSP_DP(2) <= SER_MONI.txact;
290
  DSP_DP(1) <= not SER_MONI.rxok;
291
  DSP_DP(0) <= SER_MONI.rxact;
292
 
293
  LED(7) <= SER_MONI.abact;
294 12 wfjm
  LED(6 downto 2) <= (others=>'0');
295
  LED(1) <= STAT(1);
296
  LED(0) <= STAT(0);
297
 
298 10 wfjm
end syn;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.