OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.6/] [rtl/] [sys_gen/] [tst_rlink/] [nexys3/] [sys_tst_rlink_n3.vhd] - Blame information for rev 40

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 22 wfjm
-- $Id: sys_tst_rlink_n3.vhd 538 2013-10-06 17:21:25Z mueller $
2 15 wfjm
--
3 22 wfjm
-- Copyright 2011-2013 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4 15 wfjm
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Module Name:    sys_tst_rlink_n3 - syn
16
-- Description:    rlink tester design for nexys3
17
--
18 22 wfjm
-- Dependencies:   vlib/xlib/s6_cmt_sfs
19 15 wfjm
--                 vlib/genlib/clkdivce
20
--                 bplib/bpgen/bp_rs232_2l4l_iob
21
--                 bplib/bpgen/sn_humanio_rbus
22 16 wfjm
--                 vlib/rlink/rlink_sp1c
23
--                 rbd_tst_rlink
24
--                 vlib/rbus/rb_sres_or_2
25 15 wfjm
--                 vlib/nxcramlib/nx_cram_dummy
26
--
27
-- Test bench:     tb/tb_tst_rlink_n3
28
--
29
-- Target Devices: generic
30 22 wfjm
-- Tool versions:  xst 13.1, 14.6; ghdl 0.29
31 15 wfjm
--
32
-- Synthesized (xst):
33
-- Date         Rev  ise         Target      flop lutl lutm slic t peri
34 16 wfjm
-- 2011-12-18   440 13.1    O40d xc6slx16-2   752 1258   48  439 t  7.9
35 15 wfjm
-- 2011-11-26   433 13.1    O40d xc6slx16-2   722 1199   36  423 t  9.7
36
--
37
-- Revision History: 
38
-- Date         Rev Version  Comment
39 22 wfjm
-- 2013-10-06   538   1.2    pll support, use clksys_vcodivide ect
40 16 wfjm
-- 2011-12-18   440   1.1.1  use [rt]xok for DSP_DP
41
-- 2011-12-11   438   1.1    use now rbd_tst_rlink and rlink_sp1c
42 15 wfjm
-- 2011-11-26   433   1.0    Initial version (derived from sys_tst_rlink_n2)
43
------------------------------------------------------------------------------
44
-- Usage of Nexys 3 Switches, Buttons, LEDs:
45
--
46 16 wfjm
--    SWI(7:2): no function (only connected to sn_humanio_rbus)
47
--    SWI(1):   1 enable XON
48 15 wfjm
--    SWI(0):   0 -> main board RS232 port  - implemented in bp_rs232_2l4l_iob
49
--              1 -> Pmod B/top RS232 port  /
50
--
51 16 wfjm
--    LED(7):   SER_MONI.abact
52
--    LED(6:2): no function (only connected to sn_humanio_rbus)
53 15 wfjm
--    LED(0):   timer 0 busy 
54
--    LED(1):   timer 1 busy 
55
--
56 16 wfjm
--    DSP:      SER_MONI.clkdiv         (from auto bauder)
57
--    DP(3):    not SER_MONI.txok       (shows tx back preasure)
58
--    DP(2):    SER_MONI.txact          (shows tx activity)
59
--    DP(1):    not SER_MONI.rxok       (shows rx back preasure)
60
--    DP(0):    SER_MONI.rxact          (shows rx activity)
61 15 wfjm
--
62
 
63
library ieee;
64
use ieee.std_logic_1164.all;
65
 
66
use work.slvtypes.all;
67
use work.xlib.all;
68
use work.genlib.all;
69 19 wfjm
use work.serportlib.all;
70 15 wfjm
use work.rblib.all;
71
use work.rlinklib.all;
72
use work.bpgenlib.all;
73 19 wfjm
use work.bpgenrbuslib.all;
74 15 wfjm
use work.nxcramlib.all;
75
use work.sys_conf.all;
76
 
77
-- ----------------------------------------------------------------------------
78
 
79
entity sys_tst_rlink_n3 is              -- top level
80
                                        -- implements nexys3_fusp_aif
81
  port (
82
    I_CLK100 : in slbit;                -- 100 MHz clock
83
    I_RXD : in slbit;                   -- receive data (board view)
84
    O_TXD : out slbit;                  -- transmit data (board view)
85
    I_SWI : in slv8;                    -- n3 switches
86
    I_BTN : in slv5;                    -- n3 buttons
87
    O_LED : out slv8;                   -- n3 leds
88
    O_ANO_N : out slv4;                 -- 7 segment disp: anodes   (act.low)
89
    O_SEG_N : out slv8;                 -- 7 segment disp: segments (act.low)
90
    O_MEM_CE_N : out slbit;             -- cram: chip enable   (act.low)
91
    O_MEM_BE_N : out slv2;              -- cram: byte enables  (act.low)
92
    O_MEM_WE_N : out slbit;             -- cram: write enable  (act.low)
93
    O_MEM_OE_N : out slbit;             -- cram: output enable (act.low)
94
    O_MEM_ADV_N  : out slbit;           -- cram: address valid (act.low)
95
    O_MEM_CLK : out slbit;              -- cram: clock
96
    O_MEM_CRE : out slbit;              -- cram: command register enable
97
    I_MEM_WAIT : in slbit;              -- cram: mem wait
98
    O_MEM_ADDR  : out slv23;            -- cram: address lines
99
    IO_MEM_DATA : inout slv16;          -- cram: data lines
100
    O_PPCM_CE_N : out slbit;            -- ppcm: ...
101
    O_PPCM_RST_N : out slbit;           -- ppcm: ...
102
    O_FUSP_RTS_N : out slbit;           -- fusp: rs232 rts_n
103
    I_FUSP_CTS_N : in slbit;            -- fusp: rs232 cts_n
104
    I_FUSP_RXD : in slbit;              -- fusp: rs232 rx
105
    O_FUSP_TXD : out slbit              -- fusp: rs232 tx
106
  );
107
end sys_tst_rlink_n3;
108
 
109
architecture syn of sys_tst_rlink_n3 is
110
 
111
  signal CLK :   slbit := '0';
112
 
113
  signal RXD :   slbit := '1';
114
  signal TXD :   slbit := '0';
115
  signal RTS_N : slbit := '0';
116
  signal CTS_N : slbit := '0';
117
 
118
  signal SWI     : slv8  := (others=>'0');
119
  signal BTN     : slv5  := (others=>'0');
120
  signal LED     : slv8  := (others=>'0');
121
  signal DSP_DAT : slv16 := (others=>'0');
122
  signal DSP_DP  : slv4  := (others=>'0');
123
 
124
  signal RESET   : slbit := '0';
125
  signal CE_USEC : slbit := '0';
126
  signal CE_MSEC : slbit := '0';
127
 
128 16 wfjm
  signal RB_MREQ : rb_mreq_type := rb_mreq_init;
129
  signal RB_SRES : rb_sres_type := rb_sres_init;
130
  signal RB_SRES_HIO : rb_sres_type := rb_sres_init;
131
  signal RB_SRES_TST : rb_sres_type := rb_sres_init;
132
 
133
  signal RB_LAM  : slv16 := (others=>'0');
134
  signal RB_STAT : slv3  := (others=>'0');
135
 
136
  signal SER_MONI : serport_moni_type := serport_moni_init;
137 15 wfjm
  signal STAT    : slv8  := (others=>'0');
138
 
139
  constant rbaddr_hio   : slv8 := "11000000"; -- 110000xx
140
 
141
begin
142
 
143
  assert (sys_conf_clksys mod 1000000) = 0
144
    report "assert sys_conf_clksys on MHz grid"
145
    severity failure;
146
 
147
  RESET <= '0';                         -- so far not used
148
 
149 22 wfjm
  GEN_CLKSYS : s6_cmt_sfs
150 15 wfjm
    generic map (
151 22 wfjm
      VCO_DIVIDE     => sys_conf_clksys_vcodivide,
152
      VCO_MULTIPLY   => sys_conf_clksys_vcomultiply,
153
      OUT_DIVIDE     => sys_conf_clksys_outdivide,
154
      CLKIN_PERIOD   => 10.0,
155
      CLKIN_JITTER   => 0.01,
156
      STARTUP_WAIT   => false,
157
      GEN_TYPE       => sys_conf_clksys_gentype)
158 15 wfjm
    port map (
159
      CLKIN   => I_CLK100,
160
      CLKFX   => CLK,
161
      LOCKED  => open
162
    );
163
 
164
  CLKDIV : clkdivce
165
    generic map (
166
      CDUWIDTH => 7,
167
      USECDIV  => sys_conf_clksys_mhz,
168
      MSECDIV  => 1000)
169
    port map (
170
      CLK     => CLK,
171
      CE_USEC => CE_USEC,
172
      CE_MSEC => CE_MSEC
173
    );
174
 
175
  IOB_RS232 : bp_rs232_2l4l_iob
176
    port map (
177
      CLK      => CLK,
178
      RESET    => '0',
179
      SEL      => SWI(0),
180
      RXD      => RXD,
181
      TXD      => TXD,
182
      CTS_N    => CTS_N,
183
      RTS_N    => RTS_N,
184
      I_RXD0   => I_RXD,
185
      O_TXD0   => O_TXD,
186
      I_RXD1   => I_FUSP_RXD,
187
      O_TXD1   => O_FUSP_TXD,
188
      I_CTS1_N => I_FUSP_CTS_N,
189
      O_RTS1_N => O_FUSP_RTS_N
190
    );
191
 
192
  HIO : sn_humanio_rbus
193
    generic map (
194
      BWIDTH   => 5,
195
      DEBOUNCE => sys_conf_hio_debounce,
196
      RB_ADDR  => rbaddr_hio)
197
    port map (
198
      CLK     => CLK,
199
      RESET   => RESET,
200
      CE_MSEC => CE_MSEC,
201 16 wfjm
      RB_MREQ => RB_MREQ,
202
      RB_SRES => RB_SRES_HIO,
203 15 wfjm
      SWI     => SWI,
204
      BTN     => BTN,
205
      LED     => LED,
206
      DSP_DAT => DSP_DAT,
207
      DSP_DP  => DSP_DP,
208
      I_SWI   => I_SWI,
209
      I_BTN   => I_BTN,
210
      O_LED   => O_LED,
211
      O_ANO_N => O_ANO_N,
212
      O_SEG_N => O_SEG_N
213
    );
214
 
215 16 wfjm
  RLINK : rlink_sp1c
216 15 wfjm
    generic map (
217 16 wfjm
      ATOWIDTH     => 6,
218
      ITOWIDTH     => 6,
219
      CPREF        => c_rlink_cpref,
220
      IFAWIDTH     => 5,
221
      OFAWIDTH     => 5,
222
      ENAPIN_RLMON => sbcntl_sbf_rlmon,
223
      ENAPIN_RBMON => sbcntl_sbf_rbmon,
224
      CDWIDTH      => 15,
225
      CDINIT       => sys_conf_ser2rri_cdinit)
226 15 wfjm
    port map (
227 16 wfjm
      CLK      => CLK,
228
      CE_USEC  => CE_USEC,
229
      CE_MSEC  => CE_MSEC,
230
      CE_INT   => CE_MSEC,
231
      RESET    => RESET,
232
      ENAXON   => SWI(1),
233
      ENAESC   => SWI(1),
234
      RXSD     => RXD,
235
      TXSD     => TXD,
236
      CTS_N    => CTS_N,
237
      RTS_N    => RTS_N,
238
      RB_MREQ  => RB_MREQ,
239
      RB_SRES  => RB_SRES,
240
      RB_LAM   => RB_LAM,
241
      RB_STAT  => RB_STAT,
242
      RL_MONI  => open,
243
      SER_MONI => SER_MONI
244
    );
245
 
246
  RBDTST : entity work.rbd_tst_rlink
247
    port map (
248 15 wfjm
      CLK         => CLK,
249
      RESET       => RESET,
250
      CE_USEC     => CE_USEC,
251 16 wfjm
      RB_MREQ     => RB_MREQ,
252
      RB_SRES     => RB_SRES_TST,
253
      RB_LAM      => RB_LAM,
254
      RB_STAT     => RB_STAT,
255
      RB_SRES_TOP => RB_SRES,
256
      RXSD        => RXD,
257
      RXACT       => SER_MONI.rxact,
258 15 wfjm
      STAT        => STAT
259
    );
260
 
261 16 wfjm
  RB_SRES_OR1 : rb_sres_or_2
262
    port map (
263
      RB_SRES_1  => RB_SRES_HIO,
264
      RB_SRES_2  => RB_SRES_TST,
265
      RB_SRES_OR => RB_SRES
266
    );
267
 
268 15 wfjm
  SRAM_PROT : nx_cram_dummy            -- connect CRAM to protection dummy
269
    port map (
270
      O_MEM_CE_N  => O_MEM_CE_N,
271
      O_MEM_BE_N  => O_MEM_BE_N,
272
      O_MEM_WE_N  => O_MEM_WE_N,
273
      O_MEM_OE_N  => O_MEM_OE_N,
274
      O_MEM_ADV_N => O_MEM_ADV_N,
275
      O_MEM_CLK   => O_MEM_CLK,
276
      O_MEM_CRE   => O_MEM_CRE,
277
      I_MEM_WAIT  => I_MEM_WAIT,
278
      O_MEM_ADDR  => O_MEM_ADDR,
279
      IO_MEM_DATA => IO_MEM_DATA
280
    );
281
 
282
  O_PPCM_CE_N  <= '1';                  -- keep parallel PCM memory disabled
283
  O_PPCM_RST_N <= '1';                  --
284
 
285 16 wfjm
  DSP_DAT   <= SER_MONI.abclkdiv;
286 15 wfjm
 
287 16 wfjm
  DSP_DP(3) <= not SER_MONI.txok;
288
  DSP_DP(2) <= SER_MONI.txact;
289
  DSP_DP(1) <= not SER_MONI.rxok;
290
  DSP_DP(0) <= SER_MONI.rxact;
291
 
292
  LED(7) <= SER_MONI.abact;
293 15 wfjm
  LED(6 downto 2) <= (others=>'0');
294
  LED(1) <= STAT(1);
295
  LED(0) <= STAT(0);
296
 
297
end syn;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.