OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.6/] [rtl/] [sys_gen/] [tst_rlink/] [s3board/] [sys_tst_rlink_s3.vhd] - Blame information for rev 24

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 19 wfjm
-- $Id: sys_tst_rlink_s3.vhd 476 2013-01-26 22:23:53Z mueller $
2 16 wfjm
--
3
-- Copyright 2011- by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Module Name:    sys_tst_rlink_s3 - syn
16
-- Description:    rlink tester design for s3board
17
--
18
-- Dependencies:   vlib/genlib/clkdivce
19
--                 bplib/bpgen/bp_rs232_2l4l_iob
20
--                 bplib/bpgen/sn_humanio_rbus
21
--                 vlib/rlink/rlink_sp1c
22
--                 rbd_tst_rlink
23
--                 vlib/rbus/rb_sres_or_2
24
--                 bplib/s3board/s3_sram_dummy
25
--
26
-- Test bench:     tb/tb_tst_rlink_s3
27
--
28
-- Target Devices: generic
29
-- Tool versions:  xst 13.1; ghdl 0.29
30
--
31
-- Synthesized (xst):
32
-- Date         Rev  ise         Target      flop lutl lutm slic t peri
33
-- 2011-12-22   442 13.1    O40d xc3s1000e-4  765 1672   96 1088 t 12.6
34
--
35
-- Revision History: 
36
-- Date         Rev Version  Comment
37
-- 2011-12-22   442   1.0    Initial version (derived from sys_tst_rlink_n2)
38
------------------------------------------------------------------------------
39
-- Usage of S3board switches, Buttons, LEDs:
40
--
41
--    SWI(7:2): no function (only connected to sn_humanio_rbus)
42
--    SWI(1):   1 enable XON
43
--    SWI(0):   0 -> main board RS232 port  - implemented in bp_rs232_2l4l_iob
44
--              1 -> Pmod B/top RS232 port  /
45
--
46
--    LED(7):   SER_MONI.abact
47
--    LED(6:2): no function (only connected to sn_humanio_rbus)
48
--    LED(0):   timer 0 busy 
49
--    LED(1):   timer 1 busy 
50
--
51
--    DSP:      SER_MONI.clkdiv         (from auto bauder)
52
--    DP(3):    not SER_MONI.txok       (shows tx back preasure)
53
--    DP(2):    SER_MONI.txact          (shows tx activity)
54
--    DP(1):    not SER_MONI.rxok       (shows rx back preasure)
55
--    DP(0):    SER_MONI.rxact          (shows rx activity)
56
--
57
 
58
library ieee;
59
use ieee.std_logic_1164.all;
60
 
61
use work.slvtypes.all;
62
use work.genlib.all;
63 19 wfjm
use work.serportlib.all;
64 16 wfjm
use work.rblib.all;
65
use work.rlinklib.all;
66
use work.bpgenlib.all;
67 19 wfjm
use work.bpgenrbuslib.all;
68 16 wfjm
use work.s3boardlib.all;
69
use work.sys_conf.all;
70
 
71
-- ----------------------------------------------------------------------------
72
 
73
entity sys_tst_rlink_s3 is              -- top level
74
                                        -- implements s3board_fusp_aif
75
  port (
76
    I_CLK50 : in slbit;                 -- 50 MHz board clock
77
    I_RXD : in slbit;                   -- receive data (board view)
78
    O_TXD : out slbit;                  -- transmit data (board view)
79
    I_SWI : in slv8;                    -- s3 switches
80
    I_BTN : in slv4;                    -- s3 buttons
81
    O_LED : out slv8;                   -- s3 leds
82
    O_ANO_N : out slv4;                 -- 7 segment disp: anodes   (act.low)
83
    O_SEG_N : out slv8;                 -- 7 segment disp: segments (act.low)
84
    O_MEM_CE_N : out slv2;              -- sram: chip enables  (act.low)
85
    O_MEM_BE_N : out slv4;              -- sram: byte enables  (act.low)
86
    O_MEM_WE_N : out slbit;             -- sram: write enable  (act.low)
87
    O_MEM_OE_N : out slbit;             -- sram: output enable (act.low)
88
    O_MEM_ADDR  : out slv18;            -- sram: address lines
89
    IO_MEM_DATA : inout slv32;          -- sram: data lines
90
    O_FUSP_RTS_N : out slbit;           -- fusp: rs232 rts_n
91
    I_FUSP_CTS_N : in slbit;            -- fusp: rs232 cts_n
92
    I_FUSP_RXD : in slbit;              -- fusp: rs232 rx
93
    O_FUSP_TXD : out slbit              -- fusp: rs232 tx
94
  );
95
end sys_tst_rlink_s3;
96
 
97
architecture syn of sys_tst_rlink_s3 is
98
 
99
  signal CLK :   slbit := '0';
100
 
101
  signal RXD :   slbit := '1';
102
  signal TXD :   slbit := '0';
103
  signal RTS_N : slbit := '0';
104
  signal CTS_N : slbit := '0';
105
 
106
  signal SWI     : slv8  := (others=>'0');
107
  signal BTN     : slv4  := (others=>'0');
108
  signal LED     : slv8  := (others=>'0');
109
  signal DSP_DAT : slv16 := (others=>'0');
110
  signal DSP_DP  : slv4  := (others=>'0');
111
 
112
  signal RESET   : slbit := '0';
113
  signal CE_USEC : slbit := '0';
114
  signal CE_MSEC : slbit := '0';
115
 
116
  signal RB_MREQ : rb_mreq_type := rb_mreq_init;
117
  signal RB_SRES : rb_sres_type := rb_sres_init;
118
  signal RB_SRES_HIO : rb_sres_type := rb_sres_init;
119
  signal RB_SRES_TST : rb_sres_type := rb_sres_init;
120
 
121
  signal RB_LAM  : slv16 := (others=>'0');
122
  signal RB_STAT : slv3  := (others=>'0');
123
 
124
  signal SER_MONI : serport_moni_type := serport_moni_init;
125
  signal STAT    : slv8  := (others=>'0');
126
 
127
  constant rbaddr_hio   : slv8 := "11000000"; -- 110000xx
128
 
129
begin
130
 
131
  assert (sys_conf_clksys mod 1000000) = 0
132
    report "assert sys_conf_clksys on MHz grid"
133
    severity failure;
134
 
135
  RESET <= '0';                         -- so far not used
136
  CLK   <= I_CLK50;
137
 
138
  CLKDIV : clkdivce
139
    generic map (
140
      CDUWIDTH => 7,
141
      USECDIV  => sys_conf_clksys_mhz,
142
      MSECDIV  => 1000)
143
    port map (
144
      CLK     => CLK,
145
      CE_USEC => CE_USEC,
146
      CE_MSEC => CE_MSEC
147
    );
148
 
149
  IOB_RS232 : bp_rs232_2l4l_iob
150
    port map (
151
      CLK      => CLK,
152
      RESET    => '0',
153
      SEL      => SWI(0),
154
      RXD      => RXD,
155
      TXD      => TXD,
156
      CTS_N    => CTS_N,
157
      RTS_N    => RTS_N,
158
      I_RXD0   => I_RXD,
159
      O_TXD0   => O_TXD,
160
      I_RXD1   => I_FUSP_RXD,
161
      O_TXD1   => O_FUSP_TXD,
162
      I_CTS1_N => I_FUSP_CTS_N,
163
      O_RTS1_N => O_FUSP_RTS_N
164
    );
165
 
166
  HIO : sn_humanio_rbus
167
    generic map (
168
      DEBOUNCE => sys_conf_hio_debounce,
169
      RB_ADDR  => rbaddr_hio)
170
    port map (
171
      CLK     => CLK,
172
      RESET   => RESET,
173
      CE_MSEC => CE_MSEC,
174
      RB_MREQ => RB_MREQ,
175
      RB_SRES => RB_SRES_HIO,
176
      SWI     => SWI,
177
      BTN     => BTN,
178
      LED     => LED,
179
      DSP_DAT => DSP_DAT,
180
      DSP_DP  => DSP_DP,
181
      I_SWI   => I_SWI,
182
      I_BTN   => I_BTN,
183
      O_LED   => O_LED,
184
      O_ANO_N => O_ANO_N,
185
      O_SEG_N => O_SEG_N
186
    );
187
 
188
  RLINK : rlink_sp1c
189
    generic map (
190
      ATOWIDTH     => 6,
191
      ITOWIDTH     => 6,
192
      CPREF        => c_rlink_cpref,
193
      IFAWIDTH     => 5,
194
      OFAWIDTH     => 5,
195
      ENAPIN_RLMON => sbcntl_sbf_rlmon,
196
      ENAPIN_RBMON => sbcntl_sbf_rbmon,
197
      CDWIDTH      => 15,
198
      CDINIT       => sys_conf_ser2rri_cdinit)
199
    port map (
200
      CLK      => CLK,
201
      CE_USEC  => CE_USEC,
202
      CE_MSEC  => CE_MSEC,
203
      CE_INT   => CE_MSEC,
204
      RESET    => RESET,
205
      ENAXON   => SWI(1),
206
      ENAESC   => SWI(1),
207
      RXSD     => RXD,
208
      TXSD     => TXD,
209
      CTS_N    => CTS_N,
210
      RTS_N    => RTS_N,
211
      RB_MREQ  => RB_MREQ,
212
      RB_SRES  => RB_SRES,
213
      RB_LAM   => RB_LAM,
214
      RB_STAT  => RB_STAT,
215
      RL_MONI  => open,
216
      SER_MONI => SER_MONI
217
    );
218
 
219
  RBDTST : entity work.rbd_tst_rlink
220
    port map (
221
      CLK         => CLK,
222
      RESET       => RESET,
223
      CE_USEC     => CE_USEC,
224
      RB_MREQ     => RB_MREQ,
225
      RB_SRES     => RB_SRES_TST,
226
      RB_LAM      => RB_LAM,
227
      RB_STAT     => RB_STAT,
228
      RB_SRES_TOP => RB_SRES,
229
      RXSD        => RXD,
230
      RXACT       => SER_MONI.rxact,
231
      STAT        => STAT
232
    );
233
 
234
  RB_SRES_OR1 : rb_sres_or_2
235
    port map (
236
      RB_SRES_1  => RB_SRES_HIO,
237
      RB_SRES_2  => RB_SRES_TST,
238
      RB_SRES_OR => RB_SRES
239
    );
240
 
241
  SRAM : s3_sram_dummy                  -- connect SRAM to protection dummy
242
    port map (
243
      O_MEM_CE_N => O_MEM_CE_N,
244
      O_MEM_BE_N => O_MEM_BE_N,
245
      O_MEM_WE_N => O_MEM_WE_N,
246
      O_MEM_OE_N => O_MEM_OE_N,
247
      O_MEM_ADDR  => O_MEM_ADDR,
248
      IO_MEM_DATA => IO_MEM_DATA
249
    );
250
 
251
  DSP_DAT   <= SER_MONI.abclkdiv;
252
 
253
  DSP_DP(3) <= not SER_MONI.txok;
254
  DSP_DP(2) <= SER_MONI.txact;
255
  DSP_DP(1) <= not SER_MONI.rxok;
256
  DSP_DP(0) <= SER_MONI.rxact;
257
 
258
  LED(7) <= SER_MONI.abact;
259
  LED(6 downto 2) <= (others=>'0');
260
  LED(1) <= STAT(1);
261
  LED(0) <= STAT(0);
262
 
263
end syn;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.