OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.6/] [rtl/] [sys_gen/] [tst_rlink_cuff/] [atlys/] [sys_tst_rlink_cuff_atlys.vhd] - Blame information for rev 19

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 19 wfjm
-- $Id: sys_tst_rlink_cuff_atlys.vhd 476 2013-01-26 22:23:53Z mueller $
2 18 wfjm
--
3
-- Copyright 2013- by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Module Name:    sys_tst_rlink_cuff_atlys - syn
16
-- Description:    rlink tester design for atlys with fx2 interface
17
--
18
-- Dependencies:   vlib/xlib/dcm_sfs
19
--                 vlib/genlib/clkdivce
20
--                 bplib/bpgen/bp_rs232_2l4l_iob
21 19 wfjm
--                 bplib/bpgen/sn_humanio_demu_rbus
22 18 wfjm
--                 bplib/fx2lib/fx2_2fifoctl_as   [sys_conf_fx2_type="as2"]
23
--                 bplib/fx2lib/fx2_2fifoctl_ic   [sys_conf_fx2_type="ic2"]
24
--                 bplib/fx2lib/fx2_3fifoctl_ic   [sys_conf_fx2_type="ic3"]
25
--                 tst_rlink_cuff
26
--
27
-- Test bench:     -
28
--
29
-- Target Devices: generic
30
-- Tool versions:  xst 13.3; ghdl 0.29
31
--
32
-- Synthesized (xst):
33
-- Date         Rev  ise         Target      flop lutl lutm slic t peri ctl/MHz
34
-- 2013-01-06   472 13.3    O76d xc6slx45     ???  ???? ??? ???? p ??.? ic2/100
35
--
36
-- Revision History: 
37
-- Date         Rev Version  Comment
38
-- 2013-01-06   472   1.0    Initial version; derived from sys_tst_rlink_cuff_n3
39
--                           and sys_tst_fx2loop_atlys
40
------------------------------------------------------------------------------
41
-- Usage of Atlys Switches, Buttons, LEDs:
42
--
43 19 wfjm
--    SWI(7:3)  no function (only connected to sn_humanio_demu_rbus)
44 18 wfjm
--       (2)    0 -> int/ext RS242 port for rlink
45
--              1 -> use USB interface for rlink
46
--       (1)    1 enable XON
47
--       (0)    0 -> main board RS232 port  - implemented in bp_rs232_2l4l_iob
48
--              1 -> Pmod B/top RS232 port  /
49
--
50
--    LED(7)    SER_MONI.abact
51 19 wfjm
--       (6:2)  no function (only connected to sn_humanio_demu_rbus)
52 18 wfjm
--       (0)    timer 0 busy 
53
--       (1)    timer 1 busy 
54
--
55
--    DSP:      SER_MONI.clkdiv         (from auto bauder)
56
--    for SWI(2)='0' (serport)
57
--    DP(3)     not SER_MONI.txok       (shows tx back preasure)
58
--      (2)     SER_MONI.txact          (shows tx activity)
59
--      (1)     not SER_MONI.rxok       (shows rx back preasure)
60
--      (0)     SER_MONI.rxact          (shows rx activity)
61
--    for SWI(2)='1' (fx2)
62
--    DP(3)     FX2_TX2BUSY             (shows tx2 back preasure)
63
--      (2)     FX2_TX2ENA(stretched)   (shows tx2 activity)
64
--      (1)     FX2_TXENA(streched)     (shows tx activity)
65
--      (0)     FX2_RXVAL(stretched)    (shows rx activity)
66
--
67
 
68
library ieee;
69
use ieee.std_logic_1164.all;
70
use ieee.numeric_std.all;
71
 
72
use work.slvtypes.all;
73
use work.xlib.all;
74
use work.genlib.all;
75
use work.bpgenlib.all;
76 19 wfjm
use work.bpgenrbuslib.all;
77 18 wfjm
use work.rblib.all;
78
use work.fx2lib.all;
79
use work.sys_conf.all;
80
 
81
-- ----------------------------------------------------------------------------
82
 
83
entity sys_tst_rlink_cuff_atlys is      -- top level
84
                                        -- implements atlys_fusp_cuff_aif
85
  port (
86
    I_CLK100 : in slbit;                -- 100 MHz clock
87
    I_USB_RXD : in slbit;               -- USB UART receive data (board view)
88
    O_USB_TXD : out slbit;              -- USB UART transmit data (board view)
89
    I_HIO_SWI : in slv8;                -- atlys hio switches
90
    I_HIO_BTN : in slv6;                -- atlys hio buttons
91
    O_HIO_LED: out slv8;                -- atlys hio leds
92
    O_FUSP_RTS_N : out slbit;           -- fusp: rs232 rts_n
93
    I_FUSP_CTS_N : in slbit;            -- fusp: rs232 cts_n
94
    I_FUSP_RXD : in slbit;              -- fusp: rs232 rx
95
    O_FUSP_TXD : out slbit;             -- fusp: rs232 tx
96
    I_FX2_IFCLK : in slbit;             -- fx2: interface clock
97
    O_FX2_FIFO : out slv2;              -- fx2: fifo address
98
    I_FX2_FLAG : in slv4;               -- fx2: fifo flags
99
    O_FX2_SLRD_N : out slbit;           -- fx2: read enable    (act.low)
100
    O_FX2_SLWR_N : out slbit;           -- fx2: write enable   (act.low)
101
    O_FX2_SLOE_N : out slbit;           -- fx2: output enable  (act.low)
102
    O_FX2_PKTEND_N : out slbit;         -- fx2: packet end     (act.low)
103
    IO_FX2_DATA : inout slv8            -- fx2: data lines
104
  );
105
end sys_tst_rlink_cuff_atlys;
106
 
107
architecture syn of sys_tst_rlink_cuff_atlys is
108
 
109
  signal CLK :   slbit := '0';
110
  signal RESET : slbit := '0';
111
 
112
  signal CE_USEC :  slbit := '0';
113
  signal CE_MSEC :  slbit := '0';
114
 
115
  signal RXSD  : slbit := '0';
116
  signal TXSD  : slbit := '0';
117
  signal CTS_N : slbit := '0';
118
  signal RTS_N : slbit := '0';
119
 
120
  signal SWI     : slv8  := (others=>'0');
121
  signal BTN     : slv4  := (others=>'0');
122
  signal LED     : slv8  := (others=>'0');
123
  signal DSP_DAT : slv16 := (others=>'0');
124
  signal DSP_DP  : slv4  := (others=>'0');
125
 
126
  signal RB_MREQ : rb_mreq_type := rb_mreq_init;
127
  signal RB_SRES_HIO : rb_sres_type := rb_sres_init;
128
 
129
  signal FX2_RXDATA   : slv8 := (others=>'0');
130
  signal FX2_RXVAL    : slbit := '0';
131
  signal FX2_RXHOLD   : slbit := '0';
132
  signal FX2_RXAEMPTY : slbit := '0';
133
  signal FX2_TXDATA   : slv8 := (others=>'0');
134
  signal FX2_TXENA    : slbit := '0';
135
  signal FX2_TXBUSY   : slbit := '0';
136
  signal FX2_TXAFULL  : slbit := '0';
137
  signal FX2_TX2DATA  : slv8 := (others=>'0');
138
  signal FX2_TX2ENA   : slbit := '0';
139
  signal FX2_TX2BUSY  : slbit := '0';
140
  signal FX2_TX2AFULL : slbit := '0';
141
  signal FX2_MONI  : fx2ctl_moni_type := fx2ctl_moni_init;
142
 
143
  constant rbaddr_hio   : slv8 := "11000000"; -- 110000xx
144
 
145
begin
146
 
147
  assert (sys_conf_clksys mod 1000000) = 0
148
    report "assert sys_conf_clksys on MHz grid"
149
    severity failure;
150
 
151
  DCM : dcm_sfs
152
    generic map (
153
      CLKFX_DIVIDE   => sys_conf_clkfx_divide,
154
      CLKFX_MULTIPLY => sys_conf_clkfx_multiply,
155
      CLKIN_PERIOD   => 10.0)
156
    port map (
157
      CLKIN   => I_CLK100,
158
      CLKFX   => CLK,
159
      LOCKED  => open
160
    );
161
 
162
  CLKDIV : clkdivce
163
    generic map (
164
      CDUWIDTH => 7,                    -- good for up to 127 MHz !
165
      USECDIV  => sys_conf_clksys_mhz,
166
      MSECDIV  => 1000)
167
    port map (
168
      CLK     => CLK,
169
      CE_USEC => CE_USEC,
170
      CE_MSEC => CE_MSEC
171
    );
172
 
173
  IOB_RS232 : bp_rs232_2l4l_iob
174
    port map (
175
      CLK      => CLK,
176
      RESET    => '0',
177
      SEL      => SWI(0),
178
      RXD      => RXSD,
179
      TXD      => TXSD,
180
      CTS_N    => CTS_N,
181
      RTS_N    => RTS_N,
182
      I_RXD0   => I_USB_RXD,
183
      O_TXD0   => O_USB_TXD,
184
      I_RXD1   => I_FUSP_RXD,
185
      O_TXD1   => O_FUSP_TXD,
186
      I_CTS1_N => I_FUSP_CTS_N,
187
      O_RTS1_N => O_FUSP_RTS_N
188
    );
189
 
190
  HIO : sn_humanio_demu_rbus
191
    generic map (
192
      DEBOUNCE => sys_conf_hio_debounce,
193
      RB_ADDR  => rbaddr_hio)
194
    port map (
195
      CLK     => CLK,
196
      RESET   => RESET,
197
      CE_MSEC => CE_MSEC,
198
      RB_MREQ => RB_MREQ,
199
      RB_SRES => RB_SRES_HIO,
200
      SWI     => SWI,
201
      BTN     => BTN,
202
      LED     => LED,
203
      DSP_DAT => DSP_DAT,
204
      DSP_DP  => DSP_DP,
205
      I_SWI   => I_HIO_SWI,
206
      I_BTN   => I_HIO_BTN,
207
      O_LED   => O_HIO_LED
208
    );
209
 
210
  FX2_CNTL_AS : if sys_conf_fx2_type = "as2" generate
211
    CNTL : fx2_2fifoctl_as
212
      generic map (
213
        RXFAWIDTH  => 5,
214
        TXFAWIDTH  => 5,
215
        CCWIDTH    => sys_conf_fx2_ccwidth,
216
        RXAEMPTY_THRES => 1,
217
        TXAFULL_THRES  => 1,
218
        PETOWIDTH  => sys_conf_fx2_petowidth,
219
        RDPWLDELAY => sys_conf_fx2_rdpwldelay,
220
        RDPWHDELAY => sys_conf_fx2_rdpwhdelay,
221
        WRPWLDELAY => sys_conf_fx2_wrpwldelay,
222
        WRPWHDELAY => sys_conf_fx2_wrpwhdelay,
223
        FLAGDELAY  => sys_conf_fx2_flagdelay)
224
      port map (
225
        CLK      => CLK,
226
        CE_USEC  => CE_USEC,
227
        RESET    => RESET,
228
        RXDATA   => FX2_RXDATA,
229
        RXVAL    => FX2_RXVAL,
230
        RXHOLD   => FX2_RXHOLD,
231
        RXAEMPTY => FX2_RXAEMPTY,
232
        TXDATA   => FX2_TXDATA,
233
        TXENA    => FX2_TXENA,
234
        TXBUSY   => FX2_TXBUSY,
235
        TXAFULL  => FX2_TXAFULL,
236
        MONI           => FX2_MONI,
237
        I_FX2_IFCLK    => I_FX2_IFCLK,
238
        O_FX2_FIFO     => O_FX2_FIFO,
239
        I_FX2_FLAG     => I_FX2_FLAG,
240
        O_FX2_SLRD_N   => O_FX2_SLRD_N,
241
        O_FX2_SLWR_N   => O_FX2_SLWR_N,
242
        O_FX2_SLOE_N   => O_FX2_SLOE_N,
243
        O_FX2_PKTEND_N => O_FX2_PKTEND_N,
244
        IO_FX2_DATA    => IO_FX2_DATA
245
      );
246
  end generate FX2_CNTL_AS;
247
 
248
  FX2_CNTL_IC : if sys_conf_fx2_type = "ic2" generate
249
    CNTL : fx2_2fifoctl_ic
250
      generic map (
251
        RXFAWIDTH  => 5,
252
        TXFAWIDTH  => 5,
253
        PETOWIDTH  => sys_conf_fx2_petowidth,
254
        CCWIDTH    => sys_conf_fx2_ccwidth,
255
        RXAEMPTY_THRES => 1,
256
        TXAFULL_THRES  => 1)
257
      port map (
258
        CLK      => CLK,
259
        RESET    => RESET,
260
        RXDATA   => FX2_RXDATA,
261
        RXVAL    => FX2_RXVAL,
262
        RXHOLD   => FX2_RXHOLD,
263
        RXAEMPTY => FX2_RXAEMPTY,
264
        TXDATA   => FX2_TXDATA,
265
        TXENA    => FX2_TXENA,
266
        TXBUSY   => FX2_TXBUSY,
267
        TXAFULL  => FX2_TXAFULL,
268
        MONI           => FX2_MONI,
269
        I_FX2_IFCLK    => I_FX2_IFCLK,
270
        O_FX2_FIFO     => O_FX2_FIFO,
271
        I_FX2_FLAG     => I_FX2_FLAG,
272
        O_FX2_SLRD_N   => O_FX2_SLRD_N,
273
        O_FX2_SLWR_N   => O_FX2_SLWR_N,
274
        O_FX2_SLOE_N   => O_FX2_SLOE_N,
275
        O_FX2_PKTEND_N => O_FX2_PKTEND_N,
276
        IO_FX2_DATA    => IO_FX2_DATA
277
      );
278
  end generate FX2_CNTL_IC;
279
 
280
  FX2_CNTL_IC3 : if sys_conf_fx2_type = "ic3" generate
281
    CNTL : fx2_3fifoctl_ic
282
      generic map (
283
        RXFAWIDTH  => 5,
284
        TXFAWIDTH  => 5,
285
        PETOWIDTH  => sys_conf_fx2_petowidth,
286
        CCWIDTH    => sys_conf_fx2_ccwidth,
287
        RXAEMPTY_THRES => 1,
288
        TXAFULL_THRES  => 1,
289
        TX2AFULL_THRES => 1)
290
      port map (
291
        CLK      => CLK,
292
        RESET    => RESET,
293
        RXDATA   => FX2_RXDATA,
294
        RXVAL    => FX2_RXVAL,
295
        RXHOLD   => FX2_RXHOLD,
296
        RXAEMPTY => FX2_RXAEMPTY,
297
        TXDATA   => FX2_TXDATA,
298
        TXENA    => FX2_TXENA,
299
        TXBUSY   => FX2_TXBUSY,
300
        TXAFULL  => FX2_TXAFULL,
301
        TX2DATA  => FX2_TX2DATA,
302
        TX2ENA   => FX2_TX2ENA,
303
        TX2BUSY  => FX2_TX2BUSY,
304
        TX2AFULL => FX2_TX2AFULL,
305
        MONI           => FX2_MONI,
306
        I_FX2_IFCLK    => I_FX2_IFCLK,
307
        O_FX2_FIFO     => O_FX2_FIFO,
308
        I_FX2_FLAG     => I_FX2_FLAG,
309
        O_FX2_SLRD_N   => O_FX2_SLRD_N,
310
        O_FX2_SLWR_N   => O_FX2_SLWR_N,
311
        O_FX2_SLOE_N   => O_FX2_SLOE_N,
312
        O_FX2_PKTEND_N => O_FX2_PKTEND_N,
313
        IO_FX2_DATA    => IO_FX2_DATA
314
      );
315
  end generate FX2_CNTL_IC3;
316
 
317
  TST : entity work.tst_rlink_cuff
318
    port map (
319
      CLK         => CLK,
320
      RESET       => '0',
321
      CE_USEC     => CE_USEC,
322
      CE_MSEC     => CE_MSEC,
323
      RB_MREQ_TOP => RB_MREQ,
324
      RB_SRES_TOP => RB_SRES_HIO,
325
      SWI         => SWI,
326
      BTN         => BTN(3 downto 0),
327
      LED         => LED,
328
      DSP_DAT     => DSP_DAT,
329
      DSP_DP      => DSP_DP,
330
      RXSD        => RXSD,
331
      TXSD        => TXSD,
332
      RTS_N       => RTS_N,
333
      CTS_N       => CTS_N,
334
      FX2_RXDATA  => FX2_RXDATA,
335
      FX2_RXVAL   => FX2_RXVAL,
336
      FX2_RXHOLD  => FX2_RXHOLD,
337
      FX2_TXDATA  => FX2_TXDATA,
338
      FX2_TXENA   => FX2_TXENA,
339
      FX2_TXBUSY  => FX2_TXBUSY,
340
      FX2_TX2DATA => FX2_TX2DATA,
341
      FX2_TX2ENA  => FX2_TX2ENA,
342
      FX2_TX2BUSY => FX2_TX2BUSY,
343
      FX2_MONI    => FX2_MONI
344
    );
345
 
346
end syn;
347
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.