OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.6/] [rtl/] [sys_gen/] [tst_rlink_cuff/] [nexys2/] [sys_tst_rlink_cuff_n2.vhd] - Blame information for rev 40

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 19 wfjm
-- $Id: sys_tst_rlink_cuff_n2.vhd 476 2013-01-26 22:23:53Z mueller $
2 17 wfjm
--
3
-- Copyright 2012-2013 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Module Name:    sys_tst_rlink_cuff_n2 - syn
16
-- Description:    rlink tester design for nexys2 with fx2 interface
17
--
18
-- Dependencies:   vlib/xlib/dcm_sfs
19
--                 vlib/genlib/clkdivce
20
--                 bplib/bpgen/bp_rs232_2l4l_iob
21
--                 bplib/bpgen/sn_humanio_rbus
22
--                 bplib/fx2lib/fx2_2fifoctl_as   [sys_conf_fx2_type="as2"]
23
--                 bplib/fx2lib/fx2_2fifoctl_ic   [sys_conf_fx2_type="ic2"]
24
--                 bplib/fx2lib/fx2_3fifoctl_ic   [sys_conf_fx2_type="ic3"]
25
--                 tst_rlink_cuff
26
--                 bplib/nxcramlib/nx_cram_dummy
27
--
28
-- Test bench:     -
29
--
30
-- Target Devices: generic
31
-- Tool versions:  xst 13.3; ghdl 0.29
32
--
33
-- Synthesized (xst):
34
-- Date         Rev  ise         Target      flop lutl lutm slic t peri ctl/MHz
35 18 wfjm
-- 2013-01-04   469 13.3    O76d xc3s1200e-4  846  1798 160 1215 p 16.3 ic2/ 50
36 17 wfjm
-- 2012-12-29   466 13.3    O76d xc3s1200e-4  808  1739 160 1172 p 16.3 as2/ 50
37
-- 2013-01-02   467 13.3    O76d xc3s1200e-4  843  1792 160 1209 p 15.2 ic2/ 50
38
-- 2012-12-29   466 13.3    O76d xc3s1200e-4  863  1850 192 1266 p 13.6 ic3/ 50
39
--
40
-- Revision History: 
41
-- Date         Rev Version  Comment
42
-- 2012-12-29   466   1.0    Initial version; derived from sys_tst_fx2loop_n2
43
--                           the now obsoleted sys_tst_rlink_n2_cuff design
44
------------------------------------------------------------------------------
45
-- Usage of Nexys 2 Switches, Buttons, LEDs:
46
--
47
--    SWI(7:3)  no function (only connected to sn_humanio_rbus)
48
--       (2)    0 -> int/ext RS242 port for rlink
49
--              1 -> use USB interface for rlink
50
--       (1)    1 enable XON
51
--       (0)    0 -> main board RS232 port  - implemented in bp_rs232_2l4l_iob
52
--              1 -> Pmod B/top RS232 port  /
53
--
54
--    LED(7)    SER_MONI.abact
55
--       (6:2)  no function (only connected to sn_humanio_rbus)
56
--       (0)    timer 0 busy 
57
--       (1)    timer 1 busy 
58
--
59
--    DSP:      SER_MONI.clkdiv         (from auto bauder)
60
--    for SWI(2)='0' (serport)
61
--    DP(3)     not SER_MONI.txok       (shows tx back preasure)
62
--      (2)     SER_MONI.txact          (shows tx activity)
63
--      (1)     not SER_MONI.rxok       (shows rx back preasure)
64
--      (0)     SER_MONI.rxact          (shows rx activity)
65
--    for SWI(2)='1' (fx2)
66
--    DP(3)     FX2_TX2BUSY             (shows tx2 back preasure)
67
--      (2)     FX2_TX2ENA(stretched)   (shows tx2 activity)
68
--      (1)     FX2_TXENA(streched)     (shows tx activity)
69
--      (0)     FX2_RXVAL(stretched)    (shows rx activity)
70
--
71
 
72
library ieee;
73
use ieee.std_logic_1164.all;
74
use ieee.numeric_std.all;
75
 
76
use work.slvtypes.all;
77
use work.xlib.all;
78
use work.genlib.all;
79
use work.bpgenlib.all;
80 19 wfjm
use work.bpgenrbuslib.all;
81 17 wfjm
use work.rblib.all;
82
use work.fx2lib.all;
83
use work.nxcramlib.all;
84
use work.sys_conf.all;
85
 
86
-- ----------------------------------------------------------------------------
87
 
88
entity sys_tst_rlink_cuff_n2 is         -- top level
89
                                        -- implements nexys2_fusp_cuff_aif
90
  port (
91
    I_CLK50 : in slbit;                 -- 50 MHz board clock
92
    I_RXD : in slbit;                   -- receive data (board view)
93
    O_TXD : out slbit;                  -- transmit data (board view)
94
    I_SWI : in slv8;                    -- n2 switches
95
    I_BTN : in slv4;                    -- n2 buttons
96
    O_LED : out slv8;                   -- n2 leds
97
    O_ANO_N : out slv4;                 -- 7 segment disp: anodes   (act.low)
98
    O_SEG_N : out slv8;                 -- 7 segment disp: segments (act.low)
99
    O_MEM_CE_N : out slbit;             -- cram: chip enable   (act.low)
100
    O_MEM_BE_N : out slv2;              -- cram: byte enables  (act.low)
101
    O_MEM_WE_N : out slbit;             -- cram: write enable  (act.low)
102
    O_MEM_OE_N : out slbit;             -- cram: output enable (act.low)
103
    O_MEM_ADV_N  : out slbit;           -- cram: address valid (act.low)
104
    O_MEM_CLK : out slbit;              -- cram: clock
105
    O_MEM_CRE : out slbit;              -- cram: command register enable
106
    I_MEM_WAIT : in slbit;              -- cram: mem wait
107
    O_MEM_ADDR  : out slv23;            -- cram: address lines
108
    IO_MEM_DATA : inout slv16;          -- cram: data lines
109
    O_FLA_CE_N : out slbit;             -- flash ce..          (act.low)
110
    O_FUSP_RTS_N : out slbit;           -- fusp: rs232 rts_n
111
    I_FUSP_CTS_N : in slbit;            -- fusp: rs232 cts_n
112
    I_FUSP_RXD : in slbit;              -- fusp: rs232 rx
113
    O_FUSP_TXD : out slbit;             -- fusp: rs232 tx
114
    I_FX2_IFCLK : in slbit;             -- fx2: interface clock
115
    O_FX2_FIFO : out slv2;              -- fx2: fifo address
116
    I_FX2_FLAG : in slv4;               -- fx2: fifo flags
117
    O_FX2_SLRD_N : out slbit;           -- fx2: read enable    (act.low)
118
    O_FX2_SLWR_N : out slbit;           -- fx2: write enable   (act.low)
119
    O_FX2_SLOE_N : out slbit;           -- fx2: output enable  (act.low)
120
    O_FX2_PKTEND_N : out slbit;         -- fx2: packet end     (act.low)
121
    IO_FX2_DATA : inout slv8            -- fx2: data lines
122
  );
123
end sys_tst_rlink_cuff_n2;
124
 
125
architecture syn of sys_tst_rlink_cuff_n2 is
126
 
127
  signal CLK :   slbit := '0';
128
  signal RESET : slbit := '0';
129
 
130
  signal CE_USEC :  slbit := '0';
131
  signal CE_MSEC :  slbit := '0';
132
 
133
  signal RXSD  : slbit := '0';
134
  signal TXSD  : slbit := '0';
135
  signal CTS_N : slbit := '0';
136
  signal RTS_N : slbit := '0';
137
 
138
  signal SWI     : slv8  := (others=>'0');
139
  signal BTN     : slv4  := (others=>'0');
140
  signal LED     : slv8  := (others=>'0');
141
  signal DSP_DAT : slv16 := (others=>'0');
142
  signal DSP_DP  : slv4  := (others=>'0');
143
 
144
  signal RB_MREQ : rb_mreq_type := rb_mreq_init;
145
  signal RB_SRES_HIO : rb_sres_type := rb_sres_init;
146
 
147
  signal FX2_RXDATA   : slv8 := (others=>'0');
148
  signal FX2_RXVAL    : slbit := '0';
149
  signal FX2_RXHOLD   : slbit := '0';
150
  signal FX2_RXAEMPTY : slbit := '0';
151
  signal FX2_TXDATA   : slv8 := (others=>'0');
152
  signal FX2_TXENA    : slbit := '0';
153
  signal FX2_TXBUSY   : slbit := '0';
154
  signal FX2_TXAFULL  : slbit := '0';
155
  signal FX2_TX2DATA  : slv8 := (others=>'0');
156
  signal FX2_TX2ENA   : slbit := '0';
157
  signal FX2_TX2BUSY  : slbit := '0';
158
  signal FX2_TX2AFULL : slbit := '0';
159
  signal FX2_MONI  : fx2ctl_moni_type := fx2ctl_moni_init;
160
 
161
  constant rbaddr_hio   : slv8 := "11000000"; -- 110000xx
162
 
163
begin
164
 
165
  assert (sys_conf_clksys mod 1000000) = 0
166
    report "assert sys_conf_clksys on MHz grid"
167
    severity failure;
168
 
169
  DCM : dcm_sfs
170
    generic map (
171
      CLKFX_DIVIDE   => sys_conf_clkfx_divide,
172
      CLKFX_MULTIPLY => sys_conf_clkfx_multiply,
173
      CLKIN_PERIOD   => 20.0)
174
    port map (
175
      CLKIN   => I_CLK50,
176
      CLKFX   => CLK,
177
      LOCKED  => open
178
    );
179
 
180
  CLKDIV : clkdivce
181
    generic map (
182
      CDUWIDTH => 7,                    -- good for up to 127 MHz !
183
      USECDIV  => sys_conf_clksys_mhz,
184
      MSECDIV  => 1000)
185
    port map (
186
      CLK     => CLK,
187
      CE_USEC => CE_USEC,
188
      CE_MSEC => CE_MSEC
189
    );
190
 
191
  IOB_RS232 : bp_rs232_2l4l_iob
192
    port map (
193
      CLK      => CLK,
194
      RESET    => '0',
195
      SEL      => SWI(0),
196
      RXD      => RXSD,
197
      TXD      => TXSD,
198
      CTS_N    => CTS_N,
199
      RTS_N    => RTS_N,
200
      I_RXD0   => I_RXD,
201
      O_TXD0   => O_TXD,
202
      I_RXD1   => I_FUSP_RXD,
203
      O_TXD1   => O_FUSP_TXD,
204
      I_CTS1_N => I_FUSP_CTS_N,
205
      O_RTS1_N => O_FUSP_RTS_N
206
    );
207
 
208
  HIO : sn_humanio_rbus
209
    generic map (
210
      DEBOUNCE => sys_conf_hio_debounce,
211
      RB_ADDR  => rbaddr_hio)
212
    port map (
213
      CLK     => CLK,
214
      RESET   => RESET,
215
      CE_MSEC => CE_MSEC,
216
      RB_MREQ => RB_MREQ,
217
      RB_SRES => RB_SRES_HIO,
218
      SWI     => SWI,
219
      BTN     => BTN,
220
      LED     => LED,
221
      DSP_DAT => DSP_DAT,
222
      DSP_DP  => DSP_DP,
223
      I_SWI   => I_SWI,
224
      I_BTN   => I_BTN,
225
      O_LED   => O_LED,
226
      O_ANO_N => O_ANO_N,
227
      O_SEG_N => O_SEG_N
228
    );
229
 
230
  FX2_CNTL_AS : if sys_conf_fx2_type = "as2" generate
231
    CNTL : fx2_2fifoctl_as
232
      generic map (
233
        RXFAWIDTH  => 5,
234
        TXFAWIDTH  => 5,
235
        CCWIDTH    => sys_conf_fx2_ccwidth,
236
        RXAEMPTY_THRES => 1,
237
        TXAFULL_THRES  => 1,
238
        PETOWIDTH  => sys_conf_fx2_petowidth,
239
        RDPWLDELAY => sys_conf_fx2_rdpwldelay,
240
        RDPWHDELAY => sys_conf_fx2_rdpwhdelay,
241
        WRPWLDELAY => sys_conf_fx2_wrpwldelay,
242
        WRPWHDELAY => sys_conf_fx2_wrpwhdelay,
243
        FLAGDELAY  => sys_conf_fx2_flagdelay)
244
      port map (
245
        CLK      => CLK,
246
        CE_USEC  => CE_USEC,
247
        RESET    => RESET,
248
        RXDATA   => FX2_RXDATA,
249
        RXVAL    => FX2_RXVAL,
250
        RXHOLD   => FX2_RXHOLD,
251
        RXAEMPTY => FX2_RXAEMPTY,
252
        TXDATA   => FX2_TXDATA,
253
        TXENA    => FX2_TXENA,
254
        TXBUSY   => FX2_TXBUSY,
255
        TXAFULL  => FX2_TXAFULL,
256
        MONI           => FX2_MONI,
257
        I_FX2_IFCLK    => I_FX2_IFCLK,
258
        O_FX2_FIFO     => O_FX2_FIFO,
259
        I_FX2_FLAG     => I_FX2_FLAG,
260
        O_FX2_SLRD_N   => O_FX2_SLRD_N,
261
        O_FX2_SLWR_N   => O_FX2_SLWR_N,
262
        O_FX2_SLOE_N   => O_FX2_SLOE_N,
263
        O_FX2_PKTEND_N => O_FX2_PKTEND_N,
264
        IO_FX2_DATA    => IO_FX2_DATA
265
      );
266
  end generate FX2_CNTL_AS;
267
 
268
  FX2_CNTL_IC : if sys_conf_fx2_type = "ic2" generate
269
    CNTL : fx2_2fifoctl_ic
270
      generic map (
271
        RXFAWIDTH  => 5,
272
        TXFAWIDTH  => 5,
273
        PETOWIDTH  => sys_conf_fx2_petowidth,
274
        CCWIDTH    => sys_conf_fx2_ccwidth,
275
        RXAEMPTY_THRES => 1,
276
        TXAFULL_THRES  => 1)
277
      port map (
278
        CLK      => CLK,
279
        RESET    => RESET,
280
        RXDATA   => FX2_RXDATA,
281
        RXVAL    => FX2_RXVAL,
282
        RXHOLD   => FX2_RXHOLD,
283
        RXAEMPTY => FX2_RXAEMPTY,
284
        TXDATA   => FX2_TXDATA,
285
        TXENA    => FX2_TXENA,
286
        TXBUSY   => FX2_TXBUSY,
287
        TXAFULL  => FX2_TXAFULL,
288
        MONI           => FX2_MONI,
289
        I_FX2_IFCLK    => I_FX2_IFCLK,
290
        O_FX2_FIFO     => O_FX2_FIFO,
291
        I_FX2_FLAG     => I_FX2_FLAG,
292
        O_FX2_SLRD_N   => O_FX2_SLRD_N,
293
        O_FX2_SLWR_N   => O_FX2_SLWR_N,
294
        O_FX2_SLOE_N   => O_FX2_SLOE_N,
295
        O_FX2_PKTEND_N => O_FX2_PKTEND_N,
296
        IO_FX2_DATA    => IO_FX2_DATA
297
      );
298
  end generate FX2_CNTL_IC;
299
 
300
  FX2_CNTL_IC3 : if sys_conf_fx2_type = "ic3" generate
301
    CNTL : fx2_3fifoctl_ic
302
      generic map (
303
        RXFAWIDTH  => 5,
304
        TXFAWIDTH  => 5,
305
        PETOWIDTH  => sys_conf_fx2_petowidth,
306
        CCWIDTH    => sys_conf_fx2_ccwidth,
307
        RXAEMPTY_THRES => 1,
308
        TXAFULL_THRES  => 1,
309
        TX2AFULL_THRES => 1)
310
      port map (
311
        CLK      => CLK,
312
        RESET    => RESET,
313
        RXDATA   => FX2_RXDATA,
314
        RXVAL    => FX2_RXVAL,
315
        RXHOLD   => FX2_RXHOLD,
316
        RXAEMPTY => FX2_RXAEMPTY,
317
        TXDATA   => FX2_TXDATA,
318
        TXENA    => FX2_TXENA,
319
        TXBUSY   => FX2_TXBUSY,
320
        TXAFULL  => FX2_TXAFULL,
321
        TX2DATA  => FX2_TX2DATA,
322
        TX2ENA   => FX2_TX2ENA,
323
        TX2BUSY  => FX2_TX2BUSY,
324
        TX2AFULL => FX2_TX2AFULL,
325
        MONI           => FX2_MONI,
326
        I_FX2_IFCLK    => I_FX2_IFCLK,
327
        O_FX2_FIFO     => O_FX2_FIFO,
328
        I_FX2_FLAG     => I_FX2_FLAG,
329
        O_FX2_SLRD_N   => O_FX2_SLRD_N,
330
        O_FX2_SLWR_N   => O_FX2_SLWR_N,
331
        O_FX2_SLOE_N   => O_FX2_SLOE_N,
332
        O_FX2_PKTEND_N => O_FX2_PKTEND_N,
333
        IO_FX2_DATA    => IO_FX2_DATA
334
      );
335
  end generate FX2_CNTL_IC3;
336
 
337
  TST : entity work.tst_rlink_cuff
338
    port map (
339
      CLK         => CLK,
340
      RESET       => '0',
341
      CE_USEC     => CE_USEC,
342
      CE_MSEC     => CE_MSEC,
343
      RB_MREQ_TOP => RB_MREQ,
344
      RB_SRES_TOP => RB_SRES_HIO,
345
      SWI         => SWI,
346
      BTN         => BTN,
347
      LED         => LED,
348
      DSP_DAT     => DSP_DAT,
349
      DSP_DP      => DSP_DP,
350
      RXSD        => RXSD,
351
      TXSD        => TXSD,
352
      RTS_N       => RTS_N,
353
      CTS_N       => CTS_N,
354
      FX2_RXDATA  => FX2_RXDATA,
355
      FX2_RXVAL   => FX2_RXVAL,
356
      FX2_RXHOLD  => FX2_RXHOLD,
357
      FX2_TXDATA  => FX2_TXDATA,
358
      FX2_TXENA   => FX2_TXENA,
359
      FX2_TXBUSY  => FX2_TXBUSY,
360
      FX2_TX2DATA => FX2_TX2DATA,
361
      FX2_TX2ENA  => FX2_TX2ENA,
362
      FX2_TX2BUSY => FX2_TX2BUSY,
363
      FX2_MONI    => FX2_MONI
364
    );
365
 
366
  SRAM_PROT : nx_cram_dummy            -- connect CRAM to protection dummy
367
    port map (
368
      O_MEM_CE_N  => O_MEM_CE_N,
369
      O_MEM_BE_N  => O_MEM_BE_N,
370
      O_MEM_WE_N  => O_MEM_WE_N,
371
      O_MEM_OE_N  => O_MEM_OE_N,
372
      O_MEM_ADV_N => O_MEM_ADV_N,
373
      O_MEM_CLK   => O_MEM_CLK,
374
      O_MEM_CRE   => O_MEM_CRE,
375
      I_MEM_WAIT  => I_MEM_WAIT,
376
      O_MEM_ADDR  => O_MEM_ADDR,
377
      IO_MEM_DATA => IO_MEM_DATA
378
    );
379
 
380
  O_FLA_CE_N  <= '1';                   -- keep Flash memory disabled
381
 
382
end syn;
383
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.