OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.6/] [rtl/] [sys_gen/] [tst_rlink_cuff/] [nexys3/] [sys_tst_rlink_cuff_n3.vhd] - Blame information for rev 24

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 22 wfjm
-- $Id: sys_tst_rlink_cuff_n3.vhd 538 2013-10-06 17:21:25Z mueller $
2 18 wfjm
--
3
-- Copyright 2013- by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Module Name:    sys_tst_rlink_cuff_n3 - syn
16
-- Description:    rlink tester design for nexys3 with fx2 interface
17
--
18 22 wfjm
-- Dependencies:   vlib/xlib/s6_cmt_sfs
19 18 wfjm
--                 vlib/genlib/clkdivce
20
--                 bplib/bpgen/bp_rs232_2l4l_iob
21
--                 bplib/bpgen/sn_humanio_rbus
22
--                 bplib/fx2lib/fx2_2fifoctl_as   [sys_conf_fx2_type="as2"]
23
--                 bplib/fx2lib/fx2_2fifoctl_ic   [sys_conf_fx2_type="ic2"]
24
--                 bplib/fx2lib/fx2_3fifoctl_ic   [sys_conf_fx2_type="ic3"]
25
--                 tst_rlink_cuff
26
--                 bplib/nxcramlib/nx_cram_dummy
27
--
28
-- Test bench:     -
29
--
30
-- Target Devices: generic
31 22 wfjm
-- Tool versions:  xst 13.3, 14.6; ghdl 0.29
32 18 wfjm
--
33
-- Synthesized (xst):
34
-- Date         Rev  ise         Target      flop lutl lutm slic t peri ctl/MHz
35 21 wfjm
-- 2013-01-04   469 13.3    O76d xc6slx16-2   ???  ???? ??? ???? p ??.? ic2/ 50
36 18 wfjm
--
37
-- Revision History: 
38
-- Date         Rev Version  Comment
39 22 wfjm
-- 2013-10-06   538   1.1    pll support, use clksys_vcodivide ect
40 18 wfjm
-- 2012-12-29   466   1.0    Initial version; derived from sys_tst_rlink_cuff_n2
41
--                           and sys_tst_fx2loop_n3
42
------------------------------------------------------------------------------
43
-- Usage of Nexys 3 Switches, Buttons, LEDs:
44
--
45
--    SWI(7:3)  no function (only connected to sn_humanio_rbus)
46
--       (2)    0 -> int/ext RS242 port for rlink
47
--              1 -> use USB interface for rlink
48
--       (1)    1 enable XON
49
--       (0)    0 -> main board RS232 port  - implemented in bp_rs232_2l4l_iob
50
--              1 -> Pmod B/top RS232 port  /
51
--
52
--    LED(7)    SER_MONI.abact
53
--       (6:2)  no function (only connected to sn_humanio_rbus)
54
--       (0)    timer 0 busy 
55
--       (1)    timer 1 busy 
56
--
57
--    DSP:      SER_MONI.clkdiv         (from auto bauder)
58
--    for SWI(2)='0' (serport)
59
--    DP(3)     not SER_MONI.txok       (shows tx back preasure)
60
--      (2)     SER_MONI.txact          (shows tx activity)
61
--      (1)     not SER_MONI.rxok       (shows rx back preasure)
62
--      (0)     SER_MONI.rxact          (shows rx activity)
63
--    for SWI(2)='1' (fx2)
64
--    DP(3)     FX2_TX2BUSY             (shows tx2 back preasure)
65
--      (2)     FX2_TX2ENA(stretched)   (shows tx2 activity)
66
--      (1)     FX2_TXENA(streched)     (shows tx activity)
67
--      (0)     FX2_RXVAL(stretched)    (shows rx activity)
68
--
69
 
70
library ieee;
71
use ieee.std_logic_1164.all;
72
use ieee.numeric_std.all;
73
 
74
use work.slvtypes.all;
75
use work.xlib.all;
76
use work.genlib.all;
77
use work.bpgenlib.all;
78 19 wfjm
use work.bpgenrbuslib.all;
79 18 wfjm
use work.rblib.all;
80
use work.fx2lib.all;
81
use work.nxcramlib.all;
82
use work.sys_conf.all;
83
 
84
-- ----------------------------------------------------------------------------
85
 
86
entity sys_tst_rlink_cuff_n3 is         -- top level
87
                                        -- implements nexys3_fusp_cuff_aif
88
  port (
89
    I_CLK100 : in slbit;                -- 100 MHz clock
90
    I_RXD : in slbit;                   -- receive data (board view)
91
    O_TXD : out slbit;                  -- transmit data (board view)
92
    I_SWI : in slv8;                    -- n3 switches
93
    I_BTN : in slv5;                    -- n3 buttons
94
    O_LED : out slv8;                   -- n3 leds
95
    O_ANO_N : out slv4;                 -- 7 segment disp: anodes   (act.low)
96
    O_SEG_N : out slv8;                 -- 7 segment disp: segments (act.low)
97
    O_MEM_CE_N : out slbit;             -- cram: chip enable   (act.low)
98
    O_MEM_BE_N : out slv2;              -- cram: byte enables  (act.low)
99
    O_MEM_WE_N : out slbit;             -- cram: write enable  (act.low)
100
    O_MEM_OE_N : out slbit;             -- cram: output enable (act.low)
101
    O_MEM_ADV_N  : out slbit;           -- cram: address valid (act.low)
102
    O_MEM_CLK : out slbit;              -- cram: clock
103
    O_MEM_CRE : out slbit;              -- cram: command register enable
104
    I_MEM_WAIT : in slbit;              -- cram: mem wait
105
    O_MEM_ADDR  : out slv23;            -- cram: address lines
106
    IO_MEM_DATA : inout slv16;          -- cram: data lines
107
    O_PPCM_CE_N : out slbit;            -- ppcm: ...
108
    O_PPCM_RST_N : out slbit;           -- ppcm: ...
109
    O_FUSP_RTS_N : out slbit;           -- fusp: rs232 rts_n
110
    I_FUSP_CTS_N : in slbit;            -- fusp: rs232 cts_n
111
    I_FUSP_RXD : in slbit;              -- fusp: rs232 rx
112
    O_FUSP_TXD : out slbit;             -- fusp: rs232 tx
113
    I_FX2_IFCLK : in slbit;             -- fx2: interface clock
114
    O_FX2_FIFO : out slv2;              -- fx2: fifo address
115
    I_FX2_FLAG : in slv4;               -- fx2: fifo flags
116
    O_FX2_SLRD_N : out slbit;           -- fx2: read enable    (act.low)
117
    O_FX2_SLWR_N : out slbit;           -- fx2: write enable   (act.low)
118
    O_FX2_SLOE_N : out slbit;           -- fx2: output enable  (act.low)
119
    O_FX2_PKTEND_N : out slbit;         -- fx2: packet end     (act.low)
120
    IO_FX2_DATA : inout slv8            -- fx2: data lines
121
  );
122
end sys_tst_rlink_cuff_n3;
123
 
124
architecture syn of sys_tst_rlink_cuff_n3 is
125
 
126
  signal CLK :   slbit := '0';
127
  signal RESET : slbit := '0';
128
 
129
  signal CE_USEC :  slbit := '0';
130
  signal CE_MSEC :  slbit := '0';
131
 
132
  signal RXSD  : slbit := '0';
133
  signal TXSD  : slbit := '0';
134
  signal CTS_N : slbit := '0';
135
  signal RTS_N : slbit := '0';
136
 
137
  signal SWI     : slv8  := (others=>'0');
138
  signal BTN     : slv5  := (others=>'0');
139
  signal LED     : slv8  := (others=>'0');
140
  signal DSP_DAT : slv16 := (others=>'0');
141
  signal DSP_DP  : slv4  := (others=>'0');
142
 
143
  signal RB_MREQ : rb_mreq_type := rb_mreq_init;
144
  signal RB_SRES_HIO : rb_sres_type := rb_sres_init;
145
 
146
  signal FX2_RXDATA   : slv8 := (others=>'0');
147
  signal FX2_RXVAL    : slbit := '0';
148
  signal FX2_RXHOLD   : slbit := '0';
149
  signal FX2_RXAEMPTY : slbit := '0';
150
  signal FX2_TXDATA   : slv8 := (others=>'0');
151
  signal FX2_TXENA    : slbit := '0';
152
  signal FX2_TXBUSY   : slbit := '0';
153
  signal FX2_TXAFULL  : slbit := '0';
154
  signal FX2_TX2DATA  : slv8 := (others=>'0');
155
  signal FX2_TX2ENA   : slbit := '0';
156
  signal FX2_TX2BUSY  : slbit := '0';
157
  signal FX2_TX2AFULL : slbit := '0';
158
  signal FX2_MONI  : fx2ctl_moni_type := fx2ctl_moni_init;
159
 
160
  constant rbaddr_hio   : slv8 := "11000000"; -- 110000xx
161
 
162
begin
163
 
164
  assert (sys_conf_clksys mod 1000000) = 0
165
    report "assert sys_conf_clksys on MHz grid"
166
    severity failure;
167
 
168 22 wfjm
  GEN_CLKSYS : s6_cmt_sfs
169 18 wfjm
    generic map (
170 22 wfjm
      VCO_DIVIDE     => sys_conf_clksys_vcodivide,
171
      VCO_MULTIPLY   => sys_conf_clksys_vcomultiply,
172
      OUT_DIVIDE     => sys_conf_clksys_outdivide,
173
      CLKIN_PERIOD   => 10.0,
174
      CLKIN_JITTER   => 0.01,
175
      STARTUP_WAIT   => false,
176
      GEN_TYPE       => sys_conf_clksys_gentype)
177 18 wfjm
    port map (
178
      CLKIN   => I_CLK100,
179
      CLKFX   => CLK,
180
      LOCKED  => open
181
    );
182
 
183
  CLKDIV : clkdivce
184
    generic map (
185
      CDUWIDTH => 7,                    -- good for up to 127 MHz !
186
      USECDIV  => sys_conf_clksys_mhz,
187
      MSECDIV  => 1000)
188
    port map (
189
      CLK     => CLK,
190
      CE_USEC => CE_USEC,
191
      CE_MSEC => CE_MSEC
192
    );
193
 
194
  IOB_RS232 : bp_rs232_2l4l_iob
195
    port map (
196
      CLK      => CLK,
197
      RESET    => '0',
198
      SEL      => SWI(0),
199
      RXD      => RXSD,
200
      TXD      => TXSD,
201
      CTS_N    => CTS_N,
202
      RTS_N    => RTS_N,
203
      I_RXD0   => I_RXD,
204
      O_TXD0   => O_TXD,
205
      I_RXD1   => I_FUSP_RXD,
206
      O_TXD1   => O_FUSP_TXD,
207
      I_CTS1_N => I_FUSP_CTS_N,
208
      O_RTS1_N => O_FUSP_RTS_N
209
    );
210
 
211
  HIO : sn_humanio_rbus
212
    generic map (
213
      BWIDTH   => 5,
214
      DEBOUNCE => sys_conf_hio_debounce,
215
      RB_ADDR  => rbaddr_hio)
216
    port map (
217
      CLK     => CLK,
218
      RESET   => RESET,
219
      CE_MSEC => CE_MSEC,
220
      RB_MREQ => RB_MREQ,
221
      RB_SRES => RB_SRES_HIO,
222
      SWI     => SWI,
223
      BTN     => BTN,
224
      LED     => LED,
225
      DSP_DAT => DSP_DAT,
226
      DSP_DP  => DSP_DP,
227
      I_SWI   => I_SWI,
228
      I_BTN   => I_BTN,
229
      O_LED   => O_LED,
230
      O_ANO_N => O_ANO_N,
231
      O_SEG_N => O_SEG_N
232
    );
233
 
234
  FX2_CNTL_AS : if sys_conf_fx2_type = "as2" generate
235
    CNTL : fx2_2fifoctl_as
236
      generic map (
237
        RXFAWIDTH  => 5,
238
        TXFAWIDTH  => 5,
239
        CCWIDTH    => sys_conf_fx2_ccwidth,
240
        RXAEMPTY_THRES => 1,
241
        TXAFULL_THRES  => 1,
242
        PETOWIDTH  => sys_conf_fx2_petowidth,
243
        RDPWLDELAY => sys_conf_fx2_rdpwldelay,
244
        RDPWHDELAY => sys_conf_fx2_rdpwhdelay,
245
        WRPWLDELAY => sys_conf_fx2_wrpwldelay,
246
        WRPWHDELAY => sys_conf_fx2_wrpwhdelay,
247
        FLAGDELAY  => sys_conf_fx2_flagdelay)
248
      port map (
249
        CLK      => CLK,
250
        CE_USEC  => CE_USEC,
251
        RESET    => RESET,
252
        RXDATA   => FX2_RXDATA,
253
        RXVAL    => FX2_RXVAL,
254
        RXHOLD   => FX2_RXHOLD,
255
        RXAEMPTY => FX2_RXAEMPTY,
256
        TXDATA   => FX2_TXDATA,
257
        TXENA    => FX2_TXENA,
258
        TXBUSY   => FX2_TXBUSY,
259
        TXAFULL  => FX2_TXAFULL,
260
        MONI           => FX2_MONI,
261
        I_FX2_IFCLK    => I_FX2_IFCLK,
262
        O_FX2_FIFO     => O_FX2_FIFO,
263
        I_FX2_FLAG     => I_FX2_FLAG,
264
        O_FX2_SLRD_N   => O_FX2_SLRD_N,
265
        O_FX2_SLWR_N   => O_FX2_SLWR_N,
266
        O_FX2_SLOE_N   => O_FX2_SLOE_N,
267
        O_FX2_PKTEND_N => O_FX2_PKTEND_N,
268
        IO_FX2_DATA    => IO_FX2_DATA
269
      );
270
  end generate FX2_CNTL_AS;
271
 
272
  FX2_CNTL_IC : if sys_conf_fx2_type = "ic2" generate
273
    CNTL : fx2_2fifoctl_ic
274
      generic map (
275
        RXFAWIDTH  => 5,
276
        TXFAWIDTH  => 5,
277
        PETOWIDTH  => sys_conf_fx2_petowidth,
278
        CCWIDTH    => sys_conf_fx2_ccwidth,
279
        RXAEMPTY_THRES => 1,
280
        TXAFULL_THRES  => 1)
281
      port map (
282
        CLK      => CLK,
283
        RESET    => RESET,
284
        RXDATA   => FX2_RXDATA,
285
        RXVAL    => FX2_RXVAL,
286
        RXHOLD   => FX2_RXHOLD,
287
        RXAEMPTY => FX2_RXAEMPTY,
288
        TXDATA   => FX2_TXDATA,
289
        TXENA    => FX2_TXENA,
290
        TXBUSY   => FX2_TXBUSY,
291
        TXAFULL  => FX2_TXAFULL,
292
        MONI           => FX2_MONI,
293
        I_FX2_IFCLK    => I_FX2_IFCLK,
294
        O_FX2_FIFO     => O_FX2_FIFO,
295
        I_FX2_FLAG     => I_FX2_FLAG,
296
        O_FX2_SLRD_N   => O_FX2_SLRD_N,
297
        O_FX2_SLWR_N   => O_FX2_SLWR_N,
298
        O_FX2_SLOE_N   => O_FX2_SLOE_N,
299
        O_FX2_PKTEND_N => O_FX2_PKTEND_N,
300
        IO_FX2_DATA    => IO_FX2_DATA
301
      );
302
  end generate FX2_CNTL_IC;
303
 
304
  FX2_CNTL_IC3 : if sys_conf_fx2_type = "ic3" generate
305
    CNTL : fx2_3fifoctl_ic
306
      generic map (
307
        RXFAWIDTH  => 5,
308
        TXFAWIDTH  => 5,
309
        PETOWIDTH  => sys_conf_fx2_petowidth,
310
        CCWIDTH    => sys_conf_fx2_ccwidth,
311
        RXAEMPTY_THRES => 1,
312
        TXAFULL_THRES  => 1,
313
        TX2AFULL_THRES => 1)
314
      port map (
315
        CLK      => CLK,
316
        RESET    => RESET,
317
        RXDATA   => FX2_RXDATA,
318
        RXVAL    => FX2_RXVAL,
319
        RXHOLD   => FX2_RXHOLD,
320
        RXAEMPTY => FX2_RXAEMPTY,
321
        TXDATA   => FX2_TXDATA,
322
        TXENA    => FX2_TXENA,
323
        TXBUSY   => FX2_TXBUSY,
324
        TXAFULL  => FX2_TXAFULL,
325
        TX2DATA  => FX2_TX2DATA,
326
        TX2ENA   => FX2_TX2ENA,
327
        TX2BUSY  => FX2_TX2BUSY,
328
        TX2AFULL => FX2_TX2AFULL,
329
        MONI           => FX2_MONI,
330
        I_FX2_IFCLK    => I_FX2_IFCLK,
331
        O_FX2_FIFO     => O_FX2_FIFO,
332
        I_FX2_FLAG     => I_FX2_FLAG,
333
        O_FX2_SLRD_N   => O_FX2_SLRD_N,
334
        O_FX2_SLWR_N   => O_FX2_SLWR_N,
335
        O_FX2_SLOE_N   => O_FX2_SLOE_N,
336
        O_FX2_PKTEND_N => O_FX2_PKTEND_N,
337
        IO_FX2_DATA    => IO_FX2_DATA
338
      );
339
  end generate FX2_CNTL_IC3;
340
 
341
  TST : entity work.tst_rlink_cuff
342
    port map (
343
      CLK         => CLK,
344
      RESET       => '0',
345
      CE_USEC     => CE_USEC,
346
      CE_MSEC     => CE_MSEC,
347
      RB_MREQ_TOP => RB_MREQ,
348
      RB_SRES_TOP => RB_SRES_HIO,
349
      SWI         => SWI,
350
      BTN         => BTN(3 downto 0),
351
      LED         => LED,
352
      DSP_DAT     => DSP_DAT,
353
      DSP_DP      => DSP_DP,
354
      RXSD        => RXSD,
355
      TXSD        => TXSD,
356
      RTS_N       => RTS_N,
357
      CTS_N       => CTS_N,
358
      FX2_RXDATA  => FX2_RXDATA,
359
      FX2_RXVAL   => FX2_RXVAL,
360
      FX2_RXHOLD  => FX2_RXHOLD,
361
      FX2_TXDATA  => FX2_TXDATA,
362
      FX2_TXENA   => FX2_TXENA,
363
      FX2_TXBUSY  => FX2_TXBUSY,
364
      FX2_TX2DATA => FX2_TX2DATA,
365
      FX2_TX2ENA  => FX2_TX2ENA,
366
      FX2_TX2BUSY => FX2_TX2BUSY,
367
      FX2_MONI    => FX2_MONI
368
    );
369
 
370
  SRAM_PROT : nx_cram_dummy            -- connect CRAM to protection dummy
371
    port map (
372
      O_MEM_CE_N  => O_MEM_CE_N,
373
      O_MEM_BE_N  => O_MEM_BE_N,
374
      O_MEM_WE_N  => O_MEM_WE_N,
375
      O_MEM_OE_N  => O_MEM_OE_N,
376
      O_MEM_ADV_N => O_MEM_ADV_N,
377
      O_MEM_CLK   => O_MEM_CLK,
378
      O_MEM_CRE   => O_MEM_CRE,
379
      I_MEM_WAIT  => I_MEM_WAIT,
380
      O_MEM_ADDR  => O_MEM_ADDR,
381
      IO_MEM_DATA => IO_MEM_DATA
382
    );
383
 
384
  O_PPCM_CE_N  <= '1';                  -- keep parallel PCM memory disabled
385
  O_PPCM_RST_N <= '1';                  --
386
 
387
end syn;
388
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.