OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.6/] [rtl/] [sys_gen/] [tst_serloop/] [nexys2/] [sys_tst_serloop2_n2.ucf_cpp] - Blame information for rev 24

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 16 wfjm
## $Id: sys_tst_serloop2_n2.ucf_cpp 441 2011-12-20 17:01:16Z mueller $
2
##
3
## Revision History:
4
## Date         Rev Version  Comment
5
## 2011-12-16   439   1.0.1  set maxdelay clk-clks to 12 ns
6
## 2011-09-17   410   1.0    Initial version
7
##
8
 
9
NET "I_CLK50" TNM_NET = "I_CLK50";
10
TIMESPEC "TS_I_CLK50" = PERIOD "I_CLK50" 20.0 ns HIGH 50 %;
11
OFFSET =  IN 10 ns BEFORE "I_CLK50";
12
OFFSET = OUT 20 ns  AFTER "I_CLK50";
13
 
14
## rules to prevent default 'cross clock' constraints for the dcm generated
15
## clocks CLK(100 MHz) and CLKS(60 MHz). All essential domain crossing done
16
## via fifo's or dedicated capture/synch flops.
17
 
18
NET "CLK"   TNM_NET = "CLK";
19
NET "CLKS"  TNM_NET = "CLKS";
20
TIMESPEC "TS_CDC_CLK_CLKS" = FROM "CLK"  TO "CLKS" 12 ns;
21
TIMESPEC "TS_CDC_CLKS_CLK" = FROM "CLKS" TO "CLK"  12 ns;
22
 
23
## rule to allow that two DCMs are driven by one clock pin.
24
NET "I_CLK50" CLOCK_DEDICATED_ROUTE = FALSE;
25
 
26
## std board
27
##
28
#include "bplib/nexys2/nexys2_pins.ucf"
29
##
30
## Pmod B0 - RS232
31
##
32
#include "bplib/nexys2/nexys2_pins_pmb0_rs232.ucf"

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.