OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.6/] [rtl/] [sys_gen/] [tst_serloop/] [nexys3/] [sys_tst_serloop1_n3.vhd] - Blame information for rev 24

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 19 wfjm
-- $Id: sys_tst_serloop1_n3.vhd 476 2013-01-26 22:23:53Z mueller $
2 16 wfjm
--
3
-- Copyright 2011- by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Module Name:    sys_tst_serloop1_n3 - syn
16
-- Description:    Tester serial link for nexys3 (serport_1clock case)
17
--
18
-- Dependencies:   genlib/clkdivce
19
--                 bpgen/bp_rs232_2l4l_iob
20
--                 bpgen/sn_humanio
21
--                 tst_serloop_hiomap
22
--                 vlib/serport/serport_1clock
23
--                 tst_serloop
24
--                 vlib/nxcramlib/nx_cram_dummy
25
--
26
-- Test bench:     -
27
--
28
-- Target Devices: generic
29
-- Tool versions:  xst 13.1; ghdl 0.29
30
--
31
-- Synthesized (xst):
32
-- Date         Rev  ise         Target      flop lutl lutm slic t peri
33
-- 2011-12-11   438 13.1    O40d xc6slx16-2   419  650   32  221 t  7.7
34
--
35
-- Revision History: 
36
-- Date         Rev Version  Comment
37
-- 2011-12-11   438   1.0    Initial version (derived from sys_tst_serloop_n3)
38
------------------------------------------------------------------------------
39
--
40
 
41
library ieee;
42
use ieee.std_logic_1164.all;
43
use ieee.numeric_std.all;
44
 
45
use work.slvtypes.all;
46
use work.xlib.all;
47
use work.genlib.all;
48
use work.bpgenlib.all;
49
use work.tst_serlooplib.all;
50 19 wfjm
use work.serportlib.all;
51 16 wfjm
use work.nxcramlib.all;
52
use work.sys_conf.all;
53
 
54
-- ----------------------------------------------------------------------------
55
 
56
entity sys_tst_serloop1_n3 is           -- top level
57
                                        -- implements nexys3_fusp_aif
58
  port (
59
    I_CLK100 : in slbit;                -- 100 MHz clock
60
    I_RXD : in slbit;                   -- receive data (board view)
61
    O_TXD : out slbit;                  -- transmit data (board view)
62
    I_SWI : in slv8;                    -- n3 switches
63
    I_BTN : in slv5;                    -- n3 buttons
64
    O_LED : out slv8;                   -- n3 leds
65
    O_ANO_N : out slv4;                 -- 7 segment disp: anodes   (act.low)
66
    O_SEG_N : out slv8;                 -- 7 segment disp: segments (act.low)
67
    O_MEM_CE_N : out slbit;             -- cram: chip enable   (act.low)
68
    O_MEM_BE_N : out slv2;              -- cram: byte enables  (act.low)
69
    O_MEM_WE_N : out slbit;             -- cram: write enable  (act.low)
70
    O_MEM_OE_N : out slbit;             -- cram: output enable (act.low)
71
    O_MEM_ADV_N  : out slbit;           -- cram: address valid (act.low)
72
    O_MEM_CLK : out slbit;              -- cram: clock
73
    O_MEM_CRE : out slbit;              -- cram: command register enable
74
    I_MEM_WAIT : in slbit;              -- cram: mem wait
75
    O_MEM_ADDR  : out slv23;            -- cram: address lines
76
    IO_MEM_DATA : inout slv16;          -- cram: data lines
77
    O_PPCM_CE_N : out slbit;            -- ppcm: ...
78
    O_PPCM_RST_N : out slbit;           -- ppcm: ...
79
    O_FUSP_RTS_N : out slbit;           -- fusp: rs232 rts_n
80
    I_FUSP_CTS_N : in slbit;            -- fusp: rs232 cts_n
81
    I_FUSP_RXD : in slbit;              -- fusp: rs232 rx
82
    O_FUSP_TXD : out slbit              -- fusp: rs232 tx
83
  );
84
end sys_tst_serloop1_n3;
85
 
86
architecture syn of sys_tst_serloop1_n3 is
87
 
88
  signal CLK :   slbit := '0';
89
  signal RESET : slbit := '0';
90
 
91
  signal CE_USEC : slbit := '0';
92
  signal CE_MSEC : slbit := '0';
93
 
94
  signal RXD :   slbit := '0';
95
  signal TXD :   slbit := '0';
96
  signal CTS_N : slbit := '0';
97
  signal RTS_N : slbit := '0';
98
 
99
  signal SWI     : slv8  := (others=>'0');
100
  signal BTN     : slv5  := (others=>'0');
101
  signal LED     : slv8  := (others=>'0');
102
  signal DSP_DAT : slv16 := (others=>'0');
103
  signal DSP_DP  : slv4  := (others=>'0');
104
 
105
  signal HIO_CNTL : hio_cntl_type := hio_cntl_init;
106
  signal HIO_STAT : hio_stat_type := hio_stat_init;
107
 
108
  signal RXDATA : slv8  := (others=>'0');
109
  signal RXVAL :  slbit := '0';
110
  signal RXHOLD : slbit := '0';
111
  signal TXDATA : slv8  := (others=>'0');
112
  signal TXENA :  slbit := '0';
113
  signal TXBUSY : slbit := '0';
114
 
115
  signal SER_MONI : serport_moni_type  := serport_moni_init;
116
 
117
begin
118
 
119
  CLK <= I_CLK100;
120
 
121
  CLKDIV : clkdivce
122
    generic map (
123
      CDUWIDTH => 8,
124
      USECDIV  => sys_conf_clkdiv_usecdiv,   -- syn:  100  sim:  20
125
      MSECDIV  => sys_conf_clkdiv_msecdiv)   -- syn: 1000  sim:   5
126
    port map (
127
      CLK     => CLK,
128
      CE_USEC => open,
129
      CE_MSEC => CE_MSEC
130
    );
131
 
132
  HIO : sn_humanio
133
    generic map (
134
      BWIDTH   => 5,
135
      DEBOUNCE => sys_conf_hio_debounce)
136
    port map (
137
      CLK     => CLK,
138
      RESET   => '0',
139
      CE_MSEC => CE_MSEC,
140
      SWI     => SWI,
141
      BTN     => BTN,
142
      LED     => LED,
143
      DSP_DAT => DSP_DAT,
144
      DSP_DP  => DSP_DP,
145
      I_SWI   => I_SWI,
146
      I_BTN   => I_BTN,
147
      O_LED   => O_LED,
148
      O_ANO_N => O_ANO_N,
149
      O_SEG_N => O_SEG_N
150
    );
151
 
152
  RESET <= BTN(0);                      -- BTN(0) will reset tester !!
153
 
154
  HIOMAP : tst_serloop_hiomap
155
    port map (
156
      CLK      => CLK,
157
      RESET    => RESET,
158
      HIO_CNTL => HIO_CNTL,
159
      HIO_STAT => HIO_STAT,
160
      SER_MONI => SER_MONI,
161
      SWI      => SWI,
162
      BTN      => BTN(3 downto 0),
163
      LED      => LED,
164
      DSP_DAT  => DSP_DAT,
165
      DSP_DP   => DSP_DP
166
    );
167
 
168
  IOB_RS232 : bp_rs232_2l4l_iob
169
    port map (
170
      CLK      => CLK,
171
      RESET    => '0',
172
      SEL      => SWI(0),               -- port selection
173
      RXD      => RXD,
174
      TXD      => TXD,
175
      CTS_N    => CTS_N,
176
      RTS_N    => RTS_N,
177
      I_RXD0   => I_RXD,
178
      O_TXD0   => O_TXD,
179
      I_RXD1   => I_FUSP_RXD,
180
      O_TXD1   => O_FUSP_TXD,
181
      I_CTS1_N => I_FUSP_CTS_N,
182
      O_RTS1_N => O_FUSP_RTS_N
183
    );
184
 
185
  SERPORT : serport_1clock
186
    generic map (
187
      CDWIDTH   => 15,
188
      CDINIT    => sys_conf_uart_cdinit,
189
      RXFAWIDTH => 5,
190
      TXFAWIDTH => 5)
191
    port map (
192
      CLK      => CLK,
193
      CE_MSEC  => CE_MSEC,
194
      RESET    => RESET,
195
      ENAXON   => HIO_CNTL.enaxon,
196
      ENAESC   => HIO_CNTL.enaesc,
197
      RXDATA   => RXDATA,
198
      RXVAL    => RXVAL,
199
      RXHOLD   => RXHOLD,
200
      TXDATA   => TXDATA,
201
      TXENA    => TXENA,
202
      TXBUSY   => TXBUSY,
203
      MONI     => SER_MONI,
204
      RXSD     => RXD,
205
      TXSD     => TXD,
206
      RXRTS_N  => RTS_N,
207
      TXCTS_N  => CTS_N
208
    );
209
 
210
  TESTER : tst_serloop
211
    port map (
212
      CLK      => CLK,
213
      RESET    => RESET,
214
      CE_MSEC  => CE_MSEC,
215
      HIO_CNTL => HIO_CNTL,
216
      HIO_STAT => HIO_STAT,
217
      SER_MONI => SER_MONI,
218
      RXDATA   => RXDATA,
219
      RXVAL    => RXVAL,
220
      RXHOLD   => RXHOLD,
221
      TXDATA   => TXDATA,
222
      TXENA    => TXENA,
223
      TXBUSY   => TXBUSY
224
    );
225
 
226
  SRAM_PROT : nx_cram_dummy            -- connect CRAM to protection dummy
227
    port map (
228
      O_MEM_CE_N  => O_MEM_CE_N,
229
      O_MEM_BE_N  => O_MEM_BE_N,
230
      O_MEM_WE_N  => O_MEM_WE_N,
231
      O_MEM_OE_N  => O_MEM_OE_N,
232
      O_MEM_ADV_N => O_MEM_ADV_N,
233
      O_MEM_CLK   => O_MEM_CLK,
234
      O_MEM_CRE   => O_MEM_CRE,
235
      I_MEM_WAIT  => I_MEM_WAIT,
236
      O_MEM_ADDR  => O_MEM_ADDR,
237
      IO_MEM_DATA => IO_MEM_DATA
238
    );
239
 
240
  O_PPCM_CE_N  <= '1';                  -- keep parallel PCM memory disabled
241
  O_PPCM_RST_N <= '1';                  --
242
 
243
end syn;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.