OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.6/] [rtl/] [sys_gen/] [tst_serloop/] [nexys3/] [tb/] [tb_tst_serloop1_n3.vhd] - Blame information for rev 24

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 17 wfjm
-- $Id: tb_tst_serloop1_n3.vhd 444 2011-12-25 10:04:58Z mueller $
2 16 wfjm
--
3
-- Copyright 2011- by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Module Name:    tb_tst_serloop1_n3 - sim
16
-- Description:    Test bench for sys_tst_serloop1_n3
17
--
18
-- Dependencies:   simlib/simclk
19
--                 sys_tst_serloop1_n3 [UUT]
20
--                 tb/tb_tst_serloop
21
--
22
-- To test:        sys_tst_serloop1_n3
23
--
24
-- Target Devices: generic
25
--
26
-- Revision History: 
27
-- Date         Rev Version  Comment
28 17 wfjm
-- 2011-12-23   444   1.1    use new simclk
29 16 wfjm
-- 2011-12-11   438   1.0    Initial version 
30
------------------------------------------------------------------------------
31
 
32
library ieee;
33
use ieee.std_logic_1164.all;
34
use ieee.numeric_std.all;
35
use ieee.std_logic_textio.all;
36
use std.textio.all;
37
 
38
use work.slvtypes.all;
39
use work.simlib.all;
40
 
41
entity tb_tst_serloop1_n3 is
42
end tb_tst_serloop1_n3;
43
 
44
architecture sim of tb_tst_serloop1_n3 is
45
 
46
  signal CLK100 : slbit := '0';
47
  signal CLK_STOP  : slbit := '0';
48
 
49
  signal I_RXD : slbit := '1';
50
  signal O_TXD : slbit := '1';
51
  signal I_SWI : slv8 := (others=>'0');
52
  signal I_BTN : slv5 := (others=>'0');
53
 
54
  signal O_FUSP_RTS_N : slbit := '0';
55
  signal I_FUSP_CTS_N : slbit := '0';
56
  signal I_FUSP_RXD : slbit := '1';
57
  signal O_FUSP_TXD : slbit := '1';
58
 
59
  signal RXD : slbit := '1';
60
  signal TXD : slbit := '1';
61
  signal SWI : slv8 := (others=>'0');
62
  signal BTN : slv5 := (others=>'0');
63
 
64
  signal FUSP_RTS_N : slbit := '0';
65
  signal FUSP_CTS_N : slbit := '0';
66
  signal FUSP_RXD : slbit := '1';
67
  signal FUSP_TXD : slbit := '1';
68
 
69
  constant clock_period : time :=   10 ns;
70
  constant clock_offset : time :=  200 ns;
71
  constant delay_time :   time :=    2 ns;
72
 
73
begin
74
 
75
  SYSCLK : simclk
76
    generic map (
77
      PERIOD => clock_period,
78
      OFFSET => clock_offset)
79
    port map (
80
      CLK       => CLK100,
81
      CLK_STOP  => CLK_STOP
82
    );
83
 
84
  UUT : entity work.sys_tst_serloop1_n3
85
    port map (
86
      I_CLK100     => CLK100,
87
      I_RXD        => I_RXD,
88
      O_TXD        => O_TXD,
89
      I_SWI        => I_SWI,
90
      I_BTN        => I_BTN,
91
      O_LED        => open,
92
      O_ANO_N      => open,
93
      O_SEG_N      => open,
94
      O_MEM_CE_N   => open,
95
      O_MEM_BE_N   => open,
96
      O_MEM_WE_N   => open,
97
      O_MEM_OE_N   => open,
98
      O_MEM_ADV_N  => open,
99
      O_MEM_CLK    => open,
100
      O_MEM_CRE    => open,
101
      I_MEM_WAIT   => '0',
102
      O_MEM_ADDR   => open,
103
      IO_MEM_DATA  => open,
104
      O_PPCM_CE_N  => open,
105
      O_PPCM_RST_N => open,
106
      O_FUSP_RTS_N => O_FUSP_RTS_N,
107
      I_FUSP_CTS_N => I_FUSP_CTS_N,
108
      I_FUSP_RXD   => I_FUSP_RXD,
109
      O_FUSP_TXD   => O_FUSP_TXD
110
    );
111
 
112
  GENTB : entity work.tb_tst_serloop
113
    port map (
114
      CLKS      => CLK100,
115
      CLKH      => CLK100,
116
      CLK_STOP  => CLK_STOP,
117
      P0_RXD    => RXD,
118
      P0_TXD    => TXD,
119
      P0_RTS_N  => '0',
120
      P0_CTS_N  => open,
121
      P1_RXD    => FUSP_RXD,
122
      P1_TXD    => FUSP_TXD,
123
      P1_RTS_N  => FUSP_RTS_N,
124
      P1_CTS_N  => FUSP_CTS_N,
125
      SWI       => SWI,
126
      BTN       => BTN(3 downto 0)
127
    );
128
 
129
  I_RXD        <= RXD          after delay_time;
130
  TXD          <= O_TXD        after delay_time;
131
  FUSP_RTS_N   <= O_FUSP_RTS_N after delay_time;
132
  I_FUSP_CTS_N <= FUSP_CTS_N   after delay_time;
133
  I_FUSP_RXD   <= FUSP_RXD     after delay_time;
134
  FUSP_TXD     <= O_FUSP_TXD   after delay_time;
135
 
136
  I_SWI <= SWI after delay_time;
137
  I_BTN <= BTN after delay_time;
138
 
139
end sim;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.