OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.6/] [rtl/] [sys_gen/] [tst_serloop/] [s3board/] [sys_tst_serloop_s3.vhd] - Blame information for rev 24

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 19 wfjm
-- $Id: sys_tst_serloop_s3.vhd 476 2013-01-26 22:23:53Z mueller $
2 16 wfjm
--
3
-- Copyright 2011- by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Module Name:    sys_tst_serloop_s3 - syn
16
-- Description:    Tester serial link for s3board
17
--
18
-- Dependencies:   vlib/xlib/dcm_sfs
19
--                 genlib/clkdivce
20
--                 bpgen/bp_rs232_2l4l_iob
21
--                 bpgen/sn_humanio
22
--                 tst_serloop_hiomap
23
--                 vlib/serport/serport_1clock
24
--                 tst_serloop
25
--                 s3board/s3_sram_dummy
26
--
27
-- Test bench:     -
28
--
29
-- Target Devices: generic
30
-- Tool versions:  xst 13.1; ghdl 0.29
31
--
32
-- Synthesized (xst):
33
-- Date         Rev  ise         Target      flop lutl lutm slic t peri
34
-- 2011-11-16   426 13.1    O40d xc3s1000-4   424  602   64  476 t 13.6
35
-- 2011-11-13   425 13.1    O40d xc3s1000-4   421  586   64  466 t 13.6
36
--
37
-- Revision History: 
38
-- Date         Rev Version  Comment
39
-- 2011-12-09   437   1.0.2  rename serport stat->moni port
40
-- 2011-11-17   426   1.0.1  use dcm_sfs now
41
-- 2011-11-12   423   1.0    Initial version
42
-- 2011-10-25   419   0.5    First draft
43
------------------------------------------------------------------------------
44
--
45
 
46
library ieee;
47
use ieee.std_logic_1164.all;
48
use ieee.numeric_std.all;
49
 
50
use work.slvtypes.all;
51
use work.xlib.all;
52
use work.genlib.all;
53
use work.bpgenlib.all;
54
use work.tst_serlooplib.all;
55 19 wfjm
use work.serportlib.all;
56 16 wfjm
use work.s3boardlib.all;
57
use work.sys_conf.all;
58
 
59
-- ----------------------------------------------------------------------------
60
 
61
entity sys_tst_serloop_s3 is            -- top level
62
  port (
63
    I_CLK50 : in slbit;                 -- 50 MHz board clock
64
    I_RXD : in slbit;                   -- receive data (board view)
65
    O_TXD : out slbit;                  -- transmit data (board view)
66
    I_SWI : in slv8;                    -- s3 switches
67
    I_BTN : in slv4;                    -- s3 buttons
68
    O_LED : out slv8;                   -- s3 leds
69
    O_ANO_N : out slv4;                 -- 7 segment disp: anodes   (act.low)
70
    O_SEG_N : out slv8;                 -- 7 segment disp: segments (act.low)
71
    O_MEM_CE_N : out slv2;              -- sram: chip enables  (act.low)
72
    O_MEM_BE_N : out slv4;              -- sram: byte enables  (act.low)
73
    O_MEM_WE_N : out slbit;             -- sram: write enable  (act.low)
74
    O_MEM_OE_N : out slbit;             -- sram: output enable (act.low)
75
    O_MEM_ADDR  : out slv18;            -- sram: address lines
76
    IO_MEM_DATA : inout slv32;          -- sram: data lines
77
    O_FUSP_RTS_N : out slbit;           -- fusp: rs232 rts_n
78
    I_FUSP_CTS_N : in slbit;            -- fusp: rs232 cts_n
79
    I_FUSP_RXD : in slbit;              -- fusp: rs232 rx
80
    O_FUSP_TXD : out slbit              -- fusp: rs232 tx
81
  );
82
end sys_tst_serloop_s3;
83
 
84
architecture syn of sys_tst_serloop_s3 is
85
 
86
  signal CLK :   slbit := '0';
87
  signal RESET : slbit := '0';
88
 
89
  signal CE_USEC : slbit := '0';
90
  signal CE_MSEC : slbit := '0';
91
 
92
  signal RXD :   slbit := '0';
93
  signal TXD :   slbit := '0';
94
  signal CTS_N : slbit := '0';
95
  signal RTS_N : slbit := '0';
96
 
97
  signal SWI     : slv8  := (others=>'0');
98
  signal BTN     : slv4  := (others=>'0');
99
  signal LED     : slv8  := (others=>'0');
100
  signal DSP_DAT : slv16 := (others=>'0');
101
  signal DSP_DP  : slv4  := (others=>'0');
102
 
103
  signal HIO_CNTL : hio_cntl_type := hio_cntl_init;
104
  signal HIO_STAT : hio_stat_type := hio_stat_init;
105
 
106
  signal RXDATA : slv8  := (others=>'0');
107
  signal RXVAL :  slbit := '0';
108
  signal RXHOLD : slbit := '0';
109
  signal TXDATA : slv8  := (others=>'0');
110
  signal TXENA :  slbit := '0';
111
  signal TXBUSY : slbit := '0';
112
 
113
  signal SER_MONI : serport_moni_type  := serport_moni_init;
114
 
115
begin
116
 
117
  DCM : dcm_sfs
118
    generic map (
119
      CLKFX_DIVIDE   => 5,
120
      CLKFX_MULTIPLY => 6,
121
      CLKIN_PERIOD   => 20.0)
122
    port map (
123
      CLKIN   => I_CLK50,
124
      CLKFX   => CLK,
125
      LOCKED  => open
126
    );
127
 
128
  CLKDIV : clkdivce
129
    generic map (
130
      CDUWIDTH => 6,
131
      USECDIV  => sys_conf_clkdiv_usecdiv,   -- syn:   60  sim:  12
132
      MSECDIV  => sys_conf_clkdiv_msecdiv)   -- syn: 1000  sim:   5
133
    port map (
134
      CLK     => CLK,
135
      CE_USEC => CE_USEC,
136
      CE_MSEC => CE_MSEC
137
    );
138
 
139
  HIO : sn_humanio
140
    generic map (
141
      DEBOUNCE => sys_conf_hio_debounce)
142
    port map (
143
      CLK     => CLK,
144
      RESET   => '0',
145
      CE_MSEC => CE_MSEC,
146
      SWI     => SWI,
147
      BTN     => BTN,
148
      LED     => LED,
149
      DSP_DAT => DSP_DAT,
150
      DSP_DP  => DSP_DP,
151
      I_SWI   => I_SWI,
152
      I_BTN   => I_BTN,
153
      O_LED   => O_LED,
154
      O_ANO_N => O_ANO_N,
155
      O_SEG_N => O_SEG_N
156
    );
157
 
158
  RESET <= BTN(0);                      -- BTN(0) will reset tester !!
159
 
160
  HIOMAP : tst_serloop_hiomap
161
    port map (
162
      CLK      => CLK,
163
      RESET    => RESET,
164
      HIO_CNTL => HIO_CNTL,
165
      HIO_STAT => HIO_STAT,
166
      SER_MONI => SER_MONI,
167
      SWI      => SWI,
168
      BTN      => BTN,
169
      LED      => LED,
170
      DSP_DAT  => DSP_DAT,
171
      DSP_DP   => DSP_DP
172
    );
173
 
174
  IOB_RS232 : bp_rs232_2l4l_iob
175
    port map (
176
      CLK      => CLK,
177
      RESET    => '0',
178
      SEL      => SWI(0),               -- port selection
179
      RXD      => RXD,
180
      TXD      => TXD,
181
      CTS_N    => CTS_N,
182
      RTS_N    => RTS_N,
183
      I_RXD0   => I_RXD,
184
      O_TXD0   => O_TXD,
185
      I_RXD1   => I_FUSP_RXD,
186
      O_TXD1   => O_FUSP_TXD,
187
      I_CTS1_N => I_FUSP_CTS_N,
188
      O_RTS1_N => O_FUSP_RTS_N
189
    );
190
 
191
  SERPORT : serport_1clock
192
    generic map (
193
      CDWIDTH   => 15,
194
      CDINIT    => sys_conf_uart_cdinit,
195
      RXFAWIDTH => 5,
196
      TXFAWIDTH => 5)
197
    port map (
198
      CLK     => CLK,
199
      CE_MSEC => CE_MSEC,
200
      RESET   => RESET,
201
      ENAXON  => HIO_CNTL.enaxon,
202
      ENAESC  => HIO_CNTL.enaesc,
203
      RXDATA  => RXDATA,
204
      RXVAL   => RXVAL,
205
      RXHOLD  => RXHOLD,
206
      TXDATA  => TXDATA,
207
      TXENA   => TXENA,
208
      TXBUSY  => TXBUSY,
209
      MONI    => SER_MONI,
210
      RXSD    => RXD,
211
      TXSD    => TXD,
212
      RXRTS_N => RTS_N,
213
      TXCTS_N => CTS_N
214
    );
215
 
216
  TESTER : tst_serloop
217
    port map (
218
      CLK      => CLK,
219
      RESET    => RESET,
220
      CE_MSEC  => CE_MSEC,
221
      HIO_CNTL => HIO_CNTL,
222
      HIO_STAT => HIO_STAT,
223
      SER_MONI => SER_MONI,
224
      RXDATA   => RXDATA,
225
      RXVAL    => RXVAL,
226
      RXHOLD   => RXHOLD,
227
      TXDATA   => TXDATA,
228
      TXENA    => TXENA,
229
      TXBUSY   => TXBUSY
230
    );
231
 
232
  SRAM : s3_sram_dummy                  -- connect SRAM to protection dummy
233
    port map (
234
      O_MEM_CE_N => O_MEM_CE_N,
235
      O_MEM_BE_N => O_MEM_BE_N,
236
      O_MEM_WE_N => O_MEM_WE_N,
237
      O_MEM_OE_N => O_MEM_OE_N,
238
      O_MEM_ADDR  => O_MEM_ADDR,
239
      IO_MEM_DATA => IO_MEM_DATA
240
    );
241
 
242
end syn;
243
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.