OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.6/] [rtl/] [sys_gen/] [tst_snhumanio/] [atlys/] [sys_tst_snhumanio_atlys.vhd] - Blame information for rev 24

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 16 wfjm
-- $Id: sys_tst_snhumanio_atlys.vhd 439 2011-12-16 21:56:04Z mueller $
2 13 wfjm
--
3
-- Copyright 2011- by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Module Name:    sys_tst_snhumanio_atlys - syn
16
-- Description:    snhumanio tester design for atlys
17
--
18
-- Dependencies:   vlib/genlib/clkdivce
19
--                 bplib/bpgen/sn_humanio_demu
20
--                 tst_snhumanio
21
--
22
-- Test bench:     -
23
--
24
-- Target Devices: generic
25
-- Tool versions:  xst 13.1; ghdl 0.29
26
--
27
-- Synthesized (xst):
28
-- Date         Rev  ise         Target      flop lutl lutm slic t peri
29
-- 2011-10-11   414 13.1    O40d xc6slx45     166  196    -   60 t  4.9
30
--
31
-- Revision History: 
32
-- Date         Rev Version  Comment
33
-- 2011-10-11   414   1.0    Initial version
34
------------------------------------------------------------------------------
35
-- Usage of Atlys Switches, Buttons, LEDs:
36
--
37
 
38
library ieee;
39
use ieee.std_logic_1164.all;
40
 
41
use work.slvtypes.all;
42
use work.genlib.all;
43
use work.bpgenlib.all;
44
use work.sys_conf.all;
45
 
46
-- ----------------------------------------------------------------------------
47
 
48
entity sys_tst_snhumanio_atlys is       -- top level
49 16 wfjm
                                        -- implements atlys_aif
50 13 wfjm
  port (
51
    I_CLK100 : in slbit;                -- 100 MHz clock
52
--  O_CLKSYS : out slbit;               -- DCM derived system clock
53
    I_USB_RXD : in slbit;               -- USB UART receive data (board view)
54
    O_USB_TXD : out slbit;              -- USB UART transmit data (board view)
55
    I_HIO_SWI : in slv8;                -- atlys hio switches
56
    I_HIO_BTN : in slv6;                -- atlys hio buttons
57
    O_HIO_LED: out slv8;                -- atlys hio leds
58
    O_FUSP_RTS_N : out slbit;           -- fusp: rs232 rts_n
59
    I_FUSP_CTS_N : in slbit;            -- fusp: rs232 cts_n
60
    I_FUSP_RXD : in slbit;              -- fusp: rs232 rx
61
    O_FUSP_TXD : out slbit              -- fusp: rs232 tx
62
  );
63
end sys_tst_snhumanio_atlys;
64
 
65
architecture syn of sys_tst_snhumanio_atlys is
66
 
67
  signal CLK :   slbit := '0';
68
 
69
  signal SWI     : slv8  := (others=>'0');
70
  signal BTN     : slv4  := (others=>'0');
71
  signal LED     : slv8  := (others=>'0');
72
  signal DSP_DAT : slv16 := (others=>'0');
73
  signal DSP_DP  : slv4  := (others=>'0');
74
 
75
  signal RESET   : slbit := '0';
76
  signal CE_MSEC : slbit := '0';
77
 
78
begin
79
 
80
  RESET <= '0';                         -- so far not used
81
 
82
  CLK <= I_CLK100;
83
 
84
  CLKDIV : clkdivce
85
    generic map (
86
      CDUWIDTH => 7,
87
      USECDIV  => 100,
88
      MSECDIV  => 1000)
89
    port map (
90
      CLK     => CLK,
91
      CE_USEC => open,
92
      CE_MSEC => CE_MSEC
93
    );
94
 
95
  HIO : sn_humanio_demu
96
    generic map (
97
      DEBOUNCE => sys_conf_hio_debounce)
98
    port map (
99
      CLK     => CLK,
100
      RESET   => RESET,
101
      CE_MSEC => CE_MSEC,
102
      SWI     => SWI,
103
      BTN     => BTN,
104
      LED     => LED,
105
      DSP_DAT => DSP_DAT,
106
      DSP_DP  => DSP_DP,
107
      I_SWI   => I_HIO_SWI,
108
      I_BTN   => I_HIO_BTN,
109
      O_LED   => O_HIO_LED
110
    );
111
 
112
  HIOTEST : entity work.tst_snhumanio
113
    generic map (
114
      BWIDTH => 4)
115
    port map (
116
      CLK     => CLK,
117
      RESET   => RESET,
118
      CE_MSEC => CE_MSEC,
119
      SWI     => SWI,
120
      BTN     => BTN,
121
      LED     => LED,
122
      DSP_DAT => DSP_DAT,
123
      DSP_DP  => DSP_DP
124
    );
125
 
126
  O_USB_TXD    <= I_USB_RXD;
127
  O_FUSP_TXD   <= I_FUSP_RXD;
128
  O_FUSP_RTS_N <= I_FUSP_CTS_N;
129
 
130
end syn;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.