OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.6/] [rtl/] [sys_gen/] [tst_snhumanio/] [nexys2/] [sys_tst_snhumanio_n2.vhd] - Blame information for rev 24

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 17 wfjm
-- $Id: sys_tst_snhumanio_n2.vhd 444 2011-12-25 10:04:58Z mueller $
2 13 wfjm
--
3
-- Copyright 2011- by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Module Name:    sys_tst_snhumanio_n2 - syn
16
-- Description:    snhumanio tester design for nexys2
17
--
18
-- Dependencies:   vlib/genlib/clkdivce
19
--                 bplib/bpgen/sn_humanio
20
--                 tst_snhumanio
21 15 wfjm
--                 vlib/nxcramlib/nx_cram_dummy
22 13 wfjm
--
23
-- Test bench:     -
24
--
25
-- Target Devices: generic
26
-- Tool versions:  xst 13.1; ghdl 0.29
27
--
28
-- Synthesized (xst):
29
-- Date         Rev  ise         Target      flop lutl lutm slic t peri
30
-- 2011-09-17   410 13.1    O40d xc3s1200e-4  149  207    -  144 t 10.2
31
--
32
-- Revision History: 
33
-- Date         Rev Version  Comment
34 17 wfjm
-- 2011-12-23   444   1.1    remove clksys output hack
35 15 wfjm
-- 2011-11-26   433   1.0.3  use nx_cram_dummy now
36
-- 2011-11-23   432   1.0.3  update O_FLA_CE_N usage
37 13 wfjm
-- 2011-10-25   419   1.0.2  get entity name right...
38
-- 2011-09-17   410   1.0    Initial version
39
------------------------------------------------------------------------------
40
-- Usage of Nexys 2 Switches, Buttons, LEDs:
41
--
42
 
43
library ieee;
44
use ieee.std_logic_1164.all;
45
 
46
use work.slvtypes.all;
47
use work.genlib.all;
48
use work.bpgenlib.all;
49 15 wfjm
use work.nxcramlib.all;
50 13 wfjm
use work.sys_conf.all;
51
 
52
-- ----------------------------------------------------------------------------
53
 
54
entity sys_tst_snhumanio_n2 is          -- top level
55
                                        -- implements nexys2_aif
56
  port (
57
    I_CLK50 : in slbit;                 -- 50 MHz clock
58
    I_RXD : in slbit;                   -- receive data (board view)
59
    O_TXD : out slbit;                  -- transmit data (board view)
60 15 wfjm
    I_SWI : in slv8;                    -- n2 switches
61
    I_BTN : in slv4;                    -- n2 buttons
62
    O_LED : out slv8;                   -- n2 leds
63 13 wfjm
    O_ANO_N : out slv4;                 -- 7 segment disp: anodes   (act.low)
64
    O_SEG_N : out slv8;                 -- 7 segment disp: segments (act.low)
65
    O_MEM_CE_N : out slbit;             -- cram: chip enable   (act.low)
66
    O_MEM_BE_N : out slv2;              -- cram: byte enables  (act.low)
67
    O_MEM_WE_N : out slbit;             -- cram: write enable  (act.low)
68
    O_MEM_OE_N : out slbit;             -- cram: output enable (act.low)
69
    O_MEM_ADV_N  : out slbit;           -- cram: address valid (act.low)
70
    O_MEM_CLK : out slbit;              -- cram: clock
71
    O_MEM_CRE : out slbit;              -- cram: command register enable
72
    I_MEM_WAIT : in slbit;              -- cram: mem wait
73
    O_MEM_ADDR  : out slv23;            -- cram: address lines
74 15 wfjm
    IO_MEM_DATA : inout slv16;          -- cram: data lines
75
    O_FLA_CE_N : out slbit              -- flash ce..          (act.low)
76 13 wfjm
  );
77
end sys_tst_snhumanio_n2;
78
 
79
architecture syn of sys_tst_snhumanio_n2 is
80
 
81
  signal CLK :   slbit := '0';
82
 
83
  signal SWI     : slv8  := (others=>'0');
84
  signal BTN     : slv4  := (others=>'0');
85
  signal LED     : slv8  := (others=>'0');
86
  signal DSP_DAT : slv16 := (others=>'0');
87
  signal DSP_DP  : slv4  := (others=>'0');
88
 
89
  signal RESET   : slbit := '0';
90
  signal CE_MSEC : slbit := '0';
91
 
92
begin
93
 
94
  RESET <= '0';                         -- so far not used
95
 
96
  CLK <= I_CLK50;
97
 
98
  CLKDIV : clkdivce
99
    generic map (
100
      CDUWIDTH => 7,
101
      USECDIV  => 50,
102
      MSECDIV  => 1000)
103
    port map (
104
      CLK     => CLK,
105
      CE_USEC => open,
106
      CE_MSEC => CE_MSEC
107
    );
108
 
109
  HIO : sn_humanio
110
    generic map (
111
      BWIDTH   => 4,
112
      DEBOUNCE => sys_conf_hio_debounce)
113
    port map (
114
      CLK     => CLK,
115
      RESET   => RESET,
116
      CE_MSEC => CE_MSEC,
117
      SWI     => SWI,
118
      BTN     => BTN,
119
      LED     => LED,
120
      DSP_DAT => DSP_DAT,
121
      DSP_DP  => DSP_DP,
122
      I_SWI   => I_SWI,
123
      I_BTN   => I_BTN,
124
      O_LED   => O_LED,
125
      O_ANO_N => O_ANO_N,
126
      O_SEG_N => O_SEG_N
127
    );
128
 
129
  HIOTEST : entity work.tst_snhumanio
130
    generic map (
131
      BWIDTH => 4)
132
    port map (
133
      CLK     => CLK,
134
      RESET   => RESET,
135
      CE_MSEC => CE_MSEC,
136
      SWI     => SWI,
137
      BTN     => BTN,
138
      LED     => LED,
139
      DSP_DAT => DSP_DAT,
140
      DSP_DP  => DSP_DP
141
    );
142
 
143
  O_TXD <= I_RXD;
144
 
145 15 wfjm
  SRAM_PROT : nx_cram_dummy            -- connect CRAM to protection dummy
146 13 wfjm
    port map (
147
      O_MEM_CE_N  => O_MEM_CE_N,
148
      O_MEM_BE_N  => O_MEM_BE_N,
149
      O_MEM_WE_N  => O_MEM_WE_N,
150
      O_MEM_OE_N  => O_MEM_OE_N,
151
      O_MEM_ADV_N => O_MEM_ADV_N,
152
      O_MEM_CLK   => O_MEM_CLK,
153
      O_MEM_CRE   => O_MEM_CRE,
154
      I_MEM_WAIT  => I_MEM_WAIT,
155
      O_MEM_ADDR  => O_MEM_ADDR,
156
      IO_MEM_DATA => IO_MEM_DATA
157
    );
158
 
159 15 wfjm
  O_FLA_CE_N  <= '1';                   -- keep Flash memory disabled
160
 
161 13 wfjm
end syn;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.