OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.6/] [rtl/] [sys_gen/] [tst_snhumanio/] [s3board/] [sys_tst_snhumanio_s3.vhd] - Blame information for rev 40

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 13 wfjm
-- $Id: sys_tst_snhumanio_s3.vhd 419 2011-11-01 19:42:30Z mueller $
2
--
3
-- Copyright 2011- by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Module Name:    sys_tst_snhumanio_s3 - syn
16
-- Description:    snhumanio tester design for s3board
17
--
18
-- Dependencies:   vlib/genlib/clkdivce
19
--                 bplib/bpgen/sn_humanio
20
--                 tst_snhumanio
21
--                 s3board/s3_sram_dummy
22
--
23
-- Test bench:     -
24
--
25
-- Target Devices: generic
26
-- Tool versions:  xst 13.1; ghdl 0.29
27
--
28
-- Synthesized (xst):
29
-- Date         Rev  ise         Target      flop lutl lutm slic t peri
30
-- 2011-09-18   410 13.1    O40d xc3s1000-4   149  211    -  143 t 11.4
31
--
32
-- Revision History: 
33
-- Date         Rev Version  Comment
34
-- 2011-10-25   419   1.0.2  get entity name right...
35
-- 2011-10-15   416   1.0.1  remove O_CLKSYS top level port
36
-- 2011-09-18   410   1.0    Initial version
37
------------------------------------------------------------------------------
38
-- Usage of S3BOARD Switches, Buttons, LEDs:
39
--
40
 
41
library ieee;
42
use ieee.std_logic_1164.all;
43
 
44
use work.slvtypes.all;
45
use work.genlib.all;
46
use work.bpgenlib.all;
47
use work.s3boardlib.all;
48
use work.sys_conf.all;
49
 
50
-- ----------------------------------------------------------------------------
51
 
52
entity sys_tst_snhumanio_s3 is          -- top level
53
                                        -- implements s3board_aif
54
  port (
55
    I_CLK50 : in slbit;                 -- 50 MHz clock
56
    I_RXD : in slbit;                   -- receive data (board view)
57
    O_TXD : out slbit;                  -- transmit data (board view)
58
    I_SWI : in slv8;                    -- s3 switches
59
    I_BTN : in slv4;                    -- s3 buttons
60
    O_LED : out slv8;                   -- s3 leds
61
    O_ANO_N : out slv4;                 -- 7 segment disp: anodes   (act.low)
62
    O_SEG_N : out slv8;                 -- 7 segment disp: segments (act.low)
63
    O_MEM_CE_N : out slv2;              -- sram: chip enables  (act.low)
64
    O_MEM_BE_N : out slv4;              -- sram: byte enables  (act.low)
65
    O_MEM_WE_N : out slbit;             -- sram: write enable  (act.low)
66
    O_MEM_OE_N : out slbit;             -- sram: output enable (act.low)
67
    O_MEM_ADDR  : out slv18;            -- sram: address lines
68
    IO_MEM_DATA : inout slv32           -- sram: data lines
69
  );
70
end sys_tst_snhumanio_s3;
71
 
72
architecture syn of sys_tst_snhumanio_s3 is
73
 
74
  signal CLK :   slbit := '0';
75
 
76
  signal SWI     : slv8  := (others=>'0');
77
  signal BTN     : slv4  := (others=>'0');
78
  signal LED     : slv8  := (others=>'0');
79
  signal DSP_DAT : slv16 := (others=>'0');
80
  signal DSP_DP  : slv4  := (others=>'0');
81
 
82
  signal RESET   : slbit := '0';
83
  signal CE_MSEC : slbit := '0';
84
 
85
begin
86
 
87
  RESET <= '0';                         -- so far not used
88
 
89
  CLK <= I_CLK50;
90
 
91
  CLKDIV : clkdivce
92
    generic map (
93
      CDUWIDTH => 7,
94
      USECDIV  => 50,
95
      MSECDIV  => 1000)
96
    port map (
97
      CLK     => CLK,
98
      CE_USEC => open,
99
      CE_MSEC => CE_MSEC
100
    );
101
 
102
  HIO : sn_humanio
103
    generic map (
104
      BWIDTH   => 4,
105
      DEBOUNCE => sys_conf_hio_debounce)
106
    port map (
107
      CLK     => CLK,
108
      RESET   => RESET,
109
      CE_MSEC => CE_MSEC,
110
      SWI     => SWI,
111
      BTN     => BTN,
112
      LED     => LED,
113
      DSP_DAT => DSP_DAT,
114
      DSP_DP  => DSP_DP,
115
      I_SWI   => I_SWI,
116
      I_BTN   => I_BTN,
117
      O_LED   => O_LED,
118
      O_ANO_N => O_ANO_N,
119
      O_SEG_N => O_SEG_N
120
    );
121
 
122
  HIOTEST : entity work.tst_snhumanio
123
    generic map (
124
      BWIDTH => 4)
125
    port map (
126
      CLK     => CLK,
127
      RESET   => RESET,
128
      CE_MSEC => CE_MSEC,
129
      SWI     => SWI,
130
      BTN     => BTN,
131
      LED     => LED,
132
      DSP_DAT => DSP_DAT,
133
      DSP_DP  => DSP_DP
134
    );
135
 
136
  O_TXD <= I_RXD;
137
 
138
  SRAM_PROT : s3_sram_dummy             -- connect SRAM to protection dummy
139
    port map (
140
      O_MEM_CE_N => O_MEM_CE_N,
141
      O_MEM_BE_N => O_MEM_BE_N,
142
      O_MEM_WE_N => O_MEM_WE_N,
143
      O_MEM_OE_N => O_MEM_OE_N,
144
      O_MEM_ADDR  => O_MEM_ADDR,
145
      IO_MEM_DATA => IO_MEM_DATA
146
    );
147
 
148
end syn;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.