OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.6/] [rtl/] [sys_gen/] [w11a/] [nexys2/] [sys_w11a_n2.vhd] - Blame information for rev 16

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 16 wfjm
-- $Id: sys_w11a_n2.vhd 440 2011-12-18 20:08:09Z mueller $
2 2 wfjm
--
3 12 wfjm
-- Copyright 2010-2011 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4 2 wfjm
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Module Name:    sys_w11a_n2 - syn
16
-- Description:    w11a test design for nexys2
17
--
18 13 wfjm
-- Dependencies:   vlib/xlib/dcm_sfs
19 8 wfjm
--                 vlib/genlib/clkdivce
20 12 wfjm
--                 bplib/bpgen/bp_rs232_2l4l_iob
21
--                 bplib/bpgen/sn_humanio_rbus
22 16 wfjm
--                 vlib/rlink/rlink_sp1c
23 2 wfjm
--                 vlib/rri/rb_sres_or_3
24 9 wfjm
--                 w11a/pdp11_core_rbus
25 2 wfjm
--                 w11a/pdp11_core
26
--                 w11a/pdp11_bram
27 15 wfjm
--                 vlib/nxcramlib/nx_cram_dummy
28 2 wfjm
--                 w11a/pdp11_cache
29
--                 w11a/pdp11_mem70
30 15 wfjm
--                 bplib/nxcramlib/nx_cram_memctl_as
31 2 wfjm
--                 ibus/ib_sres_or_2
32
--                 ibus/ibdr_minisys
33
--                 ibus/ibdr_maxisys
34
--                 w11a/pdp11_tmu_sb           [sim only]
35
--
36 12 wfjm
-- Test bench:     tb/tb_sys_w11a_n2
37 2 wfjm
--
38
-- Target Devices: generic
39 13 wfjm
-- Tool versions:  xst 8.2, 9.1, 9.2, 10.1, 11.4, 12.1, 13.1; ghdl 0.26-0.29
40 2 wfjm
--
41
-- Synthesized (xst):
42
-- Date         Rev  ise         Target      flop lutl lutm slic t peri
43 16 wfjm
-- 2011-12-18   440 13.1    O40d xc3s1200e-4 1450 4439  270 2740 ok: LP+PC+DL+II
44 13 wfjm
-- 2011-11-18   427 13.1    O40d xc3s1200e-4 1433 4374  242 2680 ok: LP+PC+DL+II
45 9 wfjm
-- 2010-12-30   351 12.1    M53d xc3s1200e-4 1389 4368  242 2674 ok: LP+PC+DL+II
46 8 wfjm
-- 2010-11-06   336 12.1    M53d xc3s1200e-4 1357 4304* 242 2618 ok: LP+PC+DL+II
47
-- 2010-10-24   335 12.1    M53d xc3s1200e-4 1357 4546  242 2618 ok: LP+PC+DL+II
48
-- 2010-10-17   333 12.1    M53d xc3s1200e-4 1350 4541  242 2617 ok: LP+PC+DL+II
49
-- 2010-10-16   332 12.1    M53d xc3s1200e-4 1338 4545  242 2629 ok: LP+PC+DL+II
50
-- 2010-06-27   310 12.1    M53d xc3s1200e-4 1337 4307  242 2630 ok: LP+PC+DL+II
51 2 wfjm
-- 2010-06-26   309 11.4    L68  xc3s1200e-4 1318 4293  242 2612 ok: LP+PC+DL+II
52
-- 2010-06-18   306 12.1    M53d xc3s1200e-4 1319 4300  242 2624 ok: LP+PC+DL+II
53
-- "            306 11.4    L68  xc3s1200e-4 1319 4286  242 2618 ok: LP+PC+DL+II
54
-- "            306 10.1.02 K39  xc3s1200e-4 1309 4311  242 2665 ok: LP+PC+DL+II
55
-- "            306  9.2.02 J40  xc3s1200e-4 1316 4259  242 2656 ok: LP+PC+DL+II
56
-- "            306  9.1    J30  xc3s1200e-4 1311 4260  242 2643 ok: LP+PC+DL+II
57
-- "            306  8.2.03 I34  xc3s1200e-4 1371 4394  242 2765 ok: LP+PC+DL+II
58
-- 2010-06-13   305 11.4    L68  xc3s1200e-4 1318 4360  242 2629 ok: LP+PC+DL+II
59
-- 2010-06-12   304 11.4    L68  xc3s1200e-4 1323 4201  242 2574 ok: LP+PC+DL+II
60
-- 2010-06-03   300 11.4    L68  xc3s1200e-4 1318 4181  242 2572 ok: LP+PC+DL+II
61
-- 2010-06-03   299 11.4    L68  xc3s1200e-4 1250 4071  224 2489 ok: LP+PC+DL+II
62
-- 2010-05-26   296 11.4    L68  xc3s1200e-4 1284 4079  224 2492 ok: LP+PC+DL+II
63 8 wfjm
--   Note: till 2010-10-24 lutm included 'route-thru', after only logic
64 2 wfjm
--
65
-- Revision History: 
66
-- Date         Rev Version  Comment
67 16 wfjm
-- 2011-12-18   440   1.2.7  use rlink_sp1c
68 15 wfjm
-- 2011-11-26   433   1.2.6  use nx_cram_(dummy|memctl_as) now
69
-- 2011-11-23   432   1.2.5  update O_FLA_CE_N usage
70 13 wfjm
-- 2011-11-19   427   1.2.4  now numeric_std clean
71
-- 2011-11-17   426   1.2.3  use dcm_sfs now
72 12 wfjm
-- 2011-07-09   391   1.2.2  use now bp_rs232_2l4l_iob
73
-- 2011-07-08   390   1.2.1  use now sn_humanio
74 9 wfjm
-- 2010-12-30   351   1.2    ported to rbv3
75 8 wfjm
-- 2010-11-27   341   1.1.8  add DCM; new sys_conf consts for mem and clkdiv
76
-- 2010-11-13   338   1.1.7  add O_CLKSYS (for DCM derived system clock)
77
-- 2010-11-06   336   1.1.6  rename input pin CLK -> I_CLK50
78
-- 2010-10-23   335   1.1.5  rename RRI_LAM->RB_LAM;
79 2 wfjm
-- 2010-06-26   309   1.1.4  use constants for rbus addresses (rbaddr_...)
80
--                           BUGFIX: resolve rbus address clash hio<->ibr
81
-- 2010-06-18   306   1.1.3  change proc_led sensitivity list to avoid xst warn;
82
--                           rename RB_ADDR->RB_ADDR_CORE, add RB_ADDR_IBUS;
83
--                           remove pdp11_ibdr_rri
84
-- 2010-06-13   305   1.1.2  add CP_ADDR, wire up pdp11_core_rri->pdp11_core
85
-- 2010-06-12   304   1.1.1  re-do LED driver logic (show cpu modes or cpurust)
86
-- 2010-06-11   303   1.1    use IB_MREQ.racc instead of RRI_REQ
87
-- 2010-06-03   300   1.0.2  use default FAWIDTH for rri_core_serport
88
--                           use s3_humanio_rri
89
-- 2010-05-30   297   1.0.1  put MEM_ACT_(R|W) on LED 6,7
90
-- 2010-05-28   295   1.0    Initial version (derived from sys_w11a_s3)
91
------------------------------------------------------------------------------
92
--
93
-- w11a test design for nexys2
94 9 wfjm
--    w11a + rlink + serport
95 2 wfjm
--
96
-- Usage of Nexys 2 Switches, Buttons, LEDs:
97
--
98 16 wfjm
--    SWI(7:2): no function (only connected to sn_humanio_rbus)
99
--    SWI(1):   1 enable XON
100 2 wfjm
--    SWI(0):   0 -> main board RS232 port
101
--              1 -> Pmod B/top RS232 port
102
--    
103 16 wfjm
--    LED(7)    MEM_ACT_W
104
--       (6)    MEM_ACT_R
105
--       (5)    cmdbusy (all rlink access, mostly rdma)
106
--       (4:0): if cpugo=1 show cpu mode activity
107
--                  (4) kernel mode, pri>0
108
--                  (3) kernel mode, pri=0
109
--                  (2) kernel mode, wait
110
--                  (1) supervisor mode
111 2 wfjm
--                  (0) user mode
112
--              if cpugo=0 shows cpurust
113
--                (3:0) cpurust code
114
--                  (4) '1'
115
--
116 16 wfjm
--    DP(3):    not SER_MONI.txok       (shows tx back preasure)
117
--    DP(2):    SER_MONI.txact          (shows tx activity)
118
--    DP(1):    not SER_MONI.rxok       (shows rx back preasure)
119
--    DP(0):    SER_MONI.rxact          (shows rx activity)
120
--
121 2 wfjm
 
122
library ieee;
123
use ieee.std_logic_1164.all;
124 13 wfjm
use ieee.numeric_std.all;
125 2 wfjm
 
126
use work.slvtypes.all;
127 8 wfjm
use work.xlib.all;
128 2 wfjm
use work.genlib.all;
129 16 wfjm
use work.serport.all;
130 9 wfjm
use work.rblib.all;
131
use work.rlinklib.all;
132 12 wfjm
use work.bpgenlib.all;
133 15 wfjm
use work.nxcramlib.all;
134 2 wfjm
use work.iblib.all;
135
use work.ibdlib.all;
136
use work.pdp11.all;
137
use work.sys_conf.all;
138
 
139
-- ----------------------------------------------------------------------------
140
 
141
entity sys_w11a_n2 is                   -- top level
142
                                        -- implements nexys2_fusp_aif
143
  port (
144 8 wfjm
    I_CLK50 : in slbit;                 -- 50 MHz clock
145
    O_CLKSYS : out slbit;               -- DCM derived system clock
146 2 wfjm
    I_RXD : in slbit;                   -- receive data (board view)
147
    O_TXD : out slbit;                  -- transmit data (board view)
148 15 wfjm
    I_SWI : in slv8;                    -- n2 switches
149
    I_BTN : in slv4;                    -- n2 buttons
150
    O_LED : out slv8;                   -- n2 leds
151 2 wfjm
    O_ANO_N : out slv4;                 -- 7 segment disp: anodes   (act.low)
152
    O_SEG_N : out slv8;                 -- 7 segment disp: segments (act.low)
153
    O_MEM_CE_N : out slbit;             -- cram: chip enable   (act.low)
154
    O_MEM_BE_N : out slv2;              -- cram: byte enables  (act.low)
155
    O_MEM_WE_N : out slbit;             -- cram: write enable  (act.low)
156
    O_MEM_OE_N : out slbit;             -- cram: output enable (act.low)
157
    O_MEM_ADV_N  : out slbit;           -- cram: address valid (act.low)
158
    O_MEM_CLK : out slbit;              -- cram: clock
159
    O_MEM_CRE : out slbit;              -- cram: command register enable
160
    I_MEM_WAIT : in slbit;              -- cram: mem wait
161
    O_MEM_ADDR  : out slv23;            -- cram: address lines
162
    IO_MEM_DATA : inout slv16;          -- cram: data lines
163 15 wfjm
    O_FLA_CE_N : out slbit;             -- flash ce..          (act.low)
164 2 wfjm
    O_FUSP_RTS_N : out slbit;           -- fusp: rs232 rts_n
165
    I_FUSP_CTS_N : in slbit;            -- fusp: rs232 cts_n
166
    I_FUSP_RXD : in slbit;              -- fusp: rs232 rx
167
    O_FUSP_TXD : out slbit              -- fusp: rs232 tx
168
  );
169
end sys_w11a_n2;
170
 
171
architecture syn of sys_w11a_n2 is
172
 
173 8 wfjm
  signal CLK :   slbit := '0';
174
 
175 2 wfjm
  signal RXD :   slbit := '1';
176
  signal TXD :   slbit := '0';
177
  signal RTS_N : slbit := '0';
178
  signal CTS_N : slbit := '0';
179
 
180
  signal SWI     : slv8  := (others=>'0');
181
  signal BTN     : slv4  := (others=>'0');
182
  signal LED     : slv8  := (others=>'0');
183
  signal DSP_DAT : slv16 := (others=>'0');
184
  signal DSP_DP  : slv4  := (others=>'0');
185
 
186
  signal RB_LAM  : slv16 := (others=>'0');
187
  signal RB_STAT : slv3  := (others=>'0');
188
 
189 16 wfjm
  signal SER_MONI : serport_moni_type := serport_moni_init;
190
 
191 2 wfjm
  signal RB_MREQ     : rb_mreq_type := rb_mreq_init;
192
  signal RB_SRES     : rb_sres_type := rb_sres_init;
193
  signal RB_SRES_CPU : rb_sres_type := rb_sres_init;
194
  signal RB_SRES_IBD : rb_sres_type := rb_sres_init;
195
  signal RB_SRES_HIO : rb_sres_type := rb_sres_init;
196
 
197
  signal RESET   : slbit := '0';
198
  signal CE_USEC : slbit := '0';
199
  signal CE_MSEC : slbit := '0';
200
 
201
  signal CPU_RESET : slbit := '0';
202
  signal CP_CNTL : cp_cntl_type := cp_cntl_init;
203
  signal CP_ADDR : cp_addr_type := cp_addr_init;
204
  signal CP_DIN  : slv16 := (others=>'0');
205
  signal CP_STAT : cp_stat_type := cp_stat_init;
206
  signal CP_DOUT : slv16 := (others=>'0');
207
 
208
  signal EI_PRI  : slv3   := (others=>'0');
209
  signal EI_VECT : slv9_2 := (others=>'0');
210
  signal EI_ACKM : slbit  := '0';
211
 
212
  signal EM_MREQ : em_mreq_type := em_mreq_init;
213
  signal EM_SRES : em_sres_type := em_sres_init;
214
 
215
  signal HM_ENA      : slbit := '0';
216
  signal MEM70_FMISS : slbit := '0';
217
  signal CACHE_FMISS : slbit := '0';
218
  signal CACHE_CHIT  : slbit := '0';
219
 
220
  signal MEM_REQ   : slbit := '0';
221
  signal MEM_WE    : slbit := '0';
222
  signal MEM_BUSY  : slbit := '0';
223
  signal MEM_ACK_R : slbit := '0';
224
  signal MEM_ACT_R : slbit := '0';
225
  signal MEM_ACT_W : slbit := '0';
226
  signal MEM_ADDR  : slv20 := (others=>'0');
227
  signal MEM_BE    : slv4  := (others=>'0');
228
  signal MEM_DI    : slv32 := (others=>'0');
229
  signal MEM_DO    : slv32 := (others=>'0');
230
 
231
  signal MEM_ADDR_EXT : slv22 := (others=>'0');
232
 
233
  signal BRESET  : slbit := '0';
234
  signal IB_MREQ : ib_mreq_type := ib_mreq_init;
235
  signal IB_SRES : ib_sres_type := ib_sres_init;
236
 
237
  signal IB_SRES_MEM70 : ib_sres_type := ib_sres_init;
238
  signal IB_SRES_IBDR  : ib_sres_type := ib_sres_init;
239
 
240
  signal DM_STAT_DP : dm_stat_dp_type := dm_stat_dp_init;
241
  signal DM_STAT_VM : dm_stat_vm_type := dm_stat_vm_init;
242
  signal DM_STAT_CO : dm_stat_co_type := dm_stat_co_init;
243
  signal DM_STAT_SY : dm_stat_sy_type := dm_stat_sy_init;
244
 
245
  signal DISPREG : slv16 := (others=>'0');
246
 
247
  constant rbaddr_core0 : slv8 := "00000000";
248
  constant rbaddr_ibus  : slv8 := "10000000";
249
  constant rbaddr_hio   : slv8 := "11000000";
250
 
251
begin
252
 
253 8 wfjm
  assert (sys_conf_clksys mod 1000000) = 0
254
    report "assert sys_conf_clksys on MHz grid"
255
    severity failure;
256
 
257 13 wfjm
  DCM : dcm_sfs
258 8 wfjm
    generic map (
259
      CLKFX_DIVIDE   => sys_conf_clkfx_divide,
260
      CLKFX_MULTIPLY => sys_conf_clkfx_multiply,
261
      CLKIN_PERIOD   => 20.0)
262
    port map (
263
      CLKIN   => I_CLK50,
264
      CLKFX   => CLK,
265
      LOCKED  => open
266
    );
267
 
268
  O_CLKSYS <= CLK;
269
 
270 2 wfjm
  CLKDIV : clkdivce
271
    generic map (
272
      CDUWIDTH => 6,
273 8 wfjm
      USECDIV  => sys_conf_clksys_mhz,
274 2 wfjm
      MSECDIV  => 1000)
275
    port map (
276
      CLK     => CLK,
277
      CE_USEC => CE_USEC,
278
      CE_MSEC => CE_MSEC
279
    );
280
 
281 12 wfjm
  IOB_RS232 : bp_rs232_2l4l_iob
282 2 wfjm
    port map (
283
      CLK      => CLK,
284 12 wfjm
      RESET    => '0',
285 2 wfjm
      SEL      => SWI(0),
286
      RXD      => RXD,
287
      TXD      => TXD,
288
      CTS_N    => CTS_N,
289
      RTS_N    => RTS_N,
290
      I_RXD0   => I_RXD,
291
      O_TXD0   => O_TXD,
292
      I_RXD1   => I_FUSP_RXD,
293
      O_TXD1   => O_FUSP_TXD,
294
      I_CTS1_N => I_FUSP_CTS_N,
295
      O_RTS1_N => O_FUSP_RTS_N
296
    );
297
 
298 12 wfjm
  HIO : sn_humanio_rbus
299 2 wfjm
    generic map (
300
      DEBOUNCE => sys_conf_hio_debounce,
301
      RB_ADDR  => rbaddr_hio)
302
    port map (
303
      CLK     => CLK,
304
      RESET   => RESET,
305
      CE_MSEC => CE_MSEC,
306
      RB_MREQ => RB_MREQ,
307
      RB_SRES => RB_SRES_HIO,
308
      SWI     => SWI,
309
      BTN     => BTN,
310
      LED     => LED,
311
      DSP_DAT => DSP_DAT,
312
      DSP_DP  => DSP_DP,
313
      I_SWI   => I_SWI,
314
      I_BTN   => I_BTN,
315
      O_LED   => O_LED,
316
      O_ANO_N => O_ANO_N,
317
      O_SEG_N => O_SEG_N
318
    );
319
 
320 16 wfjm
  RLINK : rlink_sp1c
321 2 wfjm
    generic map (
322 16 wfjm
      ATOWIDTH     => 6,                --  64 cycles access timeout
323
      ITOWIDTH     => 6,                --  64 periods max idle timeout
324
      CPREF        => c_rlink_cpref,
325
      IFAWIDTH     => 5,                --  32 word input fifo
326
      OFAWIDTH     => 5,                --  32 word output fifo
327
      ENAPIN_RLMON => sbcntl_sbf_rlmon,
328
      ENAPIN_RBMON => sbcntl_sbf_rbmon,
329
      CDWIDTH      => 13,
330
      CDINIT       => sys_conf_ser2rri_cdinit)
331 2 wfjm
    port map (
332
      CLK      => CLK,
333
      CE_USEC  => CE_USEC,
334
      CE_MSEC  => CE_MSEC,
335
      CE_INT   => CE_MSEC,
336
      RESET    => RESET,
337 16 wfjm
      ENAXON   => SWI(1),
338
      ENAESC   => SWI(1),
339 2 wfjm
      RXSD     => RXD,
340
      TXSD     => TXD,
341
      CTS_N    => CTS_N,
342
      RTS_N    => RTS_N,
343
      RB_MREQ  => RB_MREQ,
344
      RB_SRES  => RB_SRES,
345
      RB_LAM   => RB_LAM,
346 9 wfjm
      RB_STAT  => RB_STAT,
347
      RL_MONI  => open,
348 16 wfjm
      SER_MONI => SER_MONI
349 2 wfjm
    );
350
 
351
  RB_SRES_OR : rb_sres_or_3
352
    port map (
353
      RB_SRES_1  => RB_SRES_CPU,
354
      RB_SRES_2  => RB_SRES_IBD,
355
      RB_SRES_3  => RB_SRES_HIO,
356
      RB_SRES_OR => RB_SRES
357
    );
358
 
359 9 wfjm
  RB2CP : pdp11_core_rbus
360 2 wfjm
    generic map (
361
      RB_ADDR_CORE => rbaddr_core0,
362
      RB_ADDR_IBUS => rbaddr_ibus)
363
    port map (
364
      CLK       => CLK,
365
      RESET     => RESET,
366
      RB_MREQ   => RB_MREQ,
367
      RB_SRES   => RB_SRES_CPU,
368
      RB_STAT   => RB_STAT,
369 8 wfjm
      RB_LAM    => RB_LAM(0),
370 2 wfjm
      CPU_RESET => CPU_RESET,
371
      CP_CNTL   => CP_CNTL,
372
      CP_ADDR   => CP_ADDR,
373
      CP_DIN    => CP_DIN,
374
      CP_STAT   => CP_STAT,
375
      CP_DOUT   => CP_DOUT
376
    );
377
 
378
  CORE : pdp11_core
379
    port map (
380
      CLK       => CLK,
381
      RESET     => CPU_RESET,
382
      CP_CNTL   => CP_CNTL,
383
      CP_ADDR   => CP_ADDR,
384
      CP_DIN    => CP_DIN,
385
      CP_STAT   => CP_STAT,
386
      CP_DOUT   => CP_DOUT,
387
      EI_PRI    => EI_PRI,
388
      EI_VECT   => EI_VECT,
389
      EI_ACKM   => EI_ACKM,
390
      EM_MREQ   => EM_MREQ,
391
      EM_SRES   => EM_SRES,
392
      BRESET    => BRESET,
393
      IB_MREQ_M => IB_MREQ,
394
      IB_SRES_M => IB_SRES,
395
      DM_STAT_DP => DM_STAT_DP,
396
      DM_STAT_VM => DM_STAT_VM,
397
      DM_STAT_CO => DM_STAT_CO
398
    );
399
 
400
  MEM_BRAM: if sys_conf_bram > 0 generate
401
    signal HM_VAL_BRAM : slbit := '0';
402
  begin
403
 
404
    MEM : pdp11_bram
405
      generic map (
406
        AWIDTH => sys_conf_bram_awidth)
407
      port map (
408
        CLK     => CLK,
409
        GRESET  => CPU_RESET,
410
        EM_MREQ => EM_MREQ,
411
        EM_SRES => EM_SRES
412
      );
413
 
414
    HM_VAL_BRAM <= not EM_MREQ.we;        -- assume hit if read, miss if write
415
 
416
    MEM70: pdp11_mem70
417
      port map (
418
        CLK         => CLK,
419
        CRESET      => BRESET,
420
        HM_ENA      => EM_MREQ.req,
421
        HM_VAL      => HM_VAL_BRAM,
422
        CACHE_FMISS => MEM70_FMISS,
423
        IB_MREQ     => IB_MREQ,
424
        IB_SRES     => IB_SRES_MEM70
425
      );
426
 
427 15 wfjm
    SRAM_PROT : nx_cram_dummy            -- connect CRAM to protection dummy
428 2 wfjm
      port map (
429
        O_MEM_CE_N  => O_MEM_CE_N,
430
        O_MEM_BE_N  => O_MEM_BE_N,
431
        O_MEM_WE_N  => O_MEM_WE_N,
432
        O_MEM_OE_N  => O_MEM_OE_N,
433
        O_MEM_ADV_N => O_MEM_ADV_N,
434
        O_MEM_CLK   => O_MEM_CLK,
435
        O_MEM_CRE   => O_MEM_CRE,
436
        I_MEM_WAIT  => I_MEM_WAIT,
437
        O_MEM_ADDR  => O_MEM_ADDR,
438
        IO_MEM_DATA => IO_MEM_DATA
439
      );
440 15 wfjm
 
441
    O_FLA_CE_N  <= '1';                   -- keep Flash memory disabled
442 2 wfjm
 
443
  end generate MEM_BRAM;
444
 
445
  MEM_SRAM: if sys_conf_bram = 0 generate
446
 
447
    CACHE: pdp11_cache
448
      port map (
449
        CLK       => CLK,
450
        GRESET    => CPU_RESET,
451
        EM_MREQ   => EM_MREQ,
452
        EM_SRES   => EM_SRES,
453
        FMISS     => CACHE_FMISS,
454
        CHIT      => CACHE_CHIT,
455
        MEM_REQ   => MEM_REQ,
456
        MEM_WE    => MEM_WE,
457
        MEM_BUSY  => MEM_BUSY,
458
        MEM_ACK_R => MEM_ACK_R,
459
        MEM_ADDR  => MEM_ADDR,
460
        MEM_BE    => MEM_BE,
461
        MEM_DI    => MEM_DI,
462
        MEM_DO    => MEM_DO
463
      );
464
 
465
    MEM70: pdp11_mem70
466
      port map (
467
        CLK         => CLK,
468
        CRESET      => BRESET,
469
        HM_ENA      => HM_ENA,
470
        HM_VAL      => CACHE_CHIT,
471
        CACHE_FMISS => MEM70_FMISS,
472
        IB_MREQ     => IB_MREQ,
473
        IB_SRES     => IB_SRES_MEM70
474
      );
475
 
476
    HM_ENA      <= EM_SRES.ack_r or EM_SRES.ack_w;
477
    CACHE_FMISS <= MEM70_FMISS or sys_conf_cache_fmiss;
478
 
479
    MEM_ADDR_EXT <= "00" & MEM_ADDR;    -- just use lower 4 MB (of 16 MB)
480
 
481 15 wfjm
    SRAM_CTL: nx_cram_memctl_as
482 2 wfjm
      generic map (
483 8 wfjm
        READ0DELAY => sys_conf_memctl_read0delay,
484
        READ1DELAY => sys_conf_memctl_read1delay,
485
        WRITEDELAY => sys_conf_memctl_writedelay)
486 2 wfjm
      port map (
487
        CLK         => CLK,
488
        RESET       => CPU_RESET,
489
        REQ         => MEM_REQ,
490
        WE          => MEM_WE,
491
        BUSY        => MEM_BUSY,
492
        ACK_R       => MEM_ACK_R,
493
        ACK_W       => open,
494
        ACT_R       => MEM_ACT_R,
495
        ACT_W       => MEM_ACT_W,
496
        ADDR        => MEM_ADDR_EXT,
497
        BE          => MEM_BE,
498
        DI          => MEM_DI,
499
        DO          => MEM_DO,
500
        O_MEM_CE_N  => O_MEM_CE_N,
501
        O_MEM_BE_N  => O_MEM_BE_N,
502
        O_MEM_WE_N  => O_MEM_WE_N,
503
        O_MEM_OE_N  => O_MEM_OE_N,
504
        O_MEM_ADV_N => O_MEM_ADV_N,
505
        O_MEM_CLK   => O_MEM_CLK,
506
        O_MEM_CRE   => O_MEM_CRE,
507
        I_MEM_WAIT  => I_MEM_WAIT,
508
        O_MEM_ADDR  => O_MEM_ADDR,
509
        IO_MEM_DATA => IO_MEM_DATA
510
      );
511 15 wfjm
 
512
    O_FLA_CE_N  <= '1';                   -- keep Flash memory disabled
513 2 wfjm
 
514
  end generate MEM_SRAM;
515
 
516
  IB_SRES_OR : ib_sres_or_2
517
    port map (
518
      IB_SRES_1  => IB_SRES_MEM70,
519
      IB_SRES_2  => IB_SRES_IBDR,
520
      IB_SRES_OR => IB_SRES
521
    );
522
 
523
  IBD_MINI : if false generate
524
  begin
525
    IBDR_SYS : ibdr_minisys
526
      port map (
527
        CLK      => CLK,
528
        CE_USEC  => CE_USEC,
529
        CE_MSEC  => CE_MSEC,
530
        RESET    => CPU_RESET,
531
        BRESET   => BRESET,
532 8 wfjm
        RB_LAM   => RB_LAM(15 downto 1),
533 2 wfjm
        IB_MREQ  => IB_MREQ,
534
        IB_SRES  => IB_SRES_IBDR,
535
        EI_ACKM  => EI_ACKM,
536
        EI_PRI   => EI_PRI,
537
        EI_VECT  => EI_VECT,
538
        DISPREG  => DISPREG
539
      );
540
  end generate IBD_MINI;
541
 
542
  IBD_MAXI : if true generate
543
  begin
544
    IBDR_SYS : ibdr_maxisys
545
      port map (
546
        CLK      => CLK,
547
        CE_USEC  => CE_USEC,
548
        CE_MSEC  => CE_MSEC,
549
        RESET    => CPU_RESET,
550
        BRESET   => BRESET,
551 8 wfjm
        RB_LAM   => RB_LAM(15 downto 1),
552 2 wfjm
        IB_MREQ  => IB_MREQ,
553
        IB_SRES  => IB_SRES_IBDR,
554
        EI_ACKM  => EI_ACKM,
555
        EI_PRI   => EI_PRI,
556
        EI_VECT  => EI_VECT,
557
        DISPREG  => DISPREG
558
      );
559
  end generate IBD_MAXI;
560
 
561
  DSP_DAT(15 downto 0) <= DISPREG;
562
 
563 16 wfjm
  DSP_DP(3) <= not SER_MONI.txok;
564
  DSP_DP(2) <= SER_MONI.txact;
565
  DSP_DP(1) <= not SER_MONI.rxok;
566
  DSP_DP(0) <= SER_MONI.rxact;
567
 
568 2 wfjm
  proc_led: process (MEM_ACT_W, MEM_ACT_R, CP_STAT, DM_STAT_DP.psw)
569
    variable iled : slv8 := (others=>'0');
570
  begin
571
    iled := (others=>'0');
572
    iled(7) := MEM_ACT_W;
573
    iled(6) := MEM_ACT_R;
574
    iled(5) := CP_STAT.cmdbusy;
575
    if CP_STAT.cpugo = '1' then
576
      case DM_STAT_DP.psw.cmode is
577
        when c_psw_kmode =>
578
          if CP_STAT.cpuwait = '1' then
579
            iled(2) := '1';
580
          elsif unsigned(DM_STAT_DP.psw.pri) = 0 then
581
            iled(3) := '1';
582
          else
583
            iled(4) := '1';
584
          end if;
585
        when c_psw_smode =>
586
          iled(1) := '1';
587
        when c_psw_umode =>
588
          iled(0) := '1';
589
        when others => null;
590
      end case;
591
    else
592
      iled(4) := '1';
593
      iled(3 downto 0) := CP_STAT.cpurust;
594
    end if;
595
    LED <= iled;
596
  end process;
597
 
598
-- synthesis translate_off
599
  DM_STAT_SY.emmreq <= EM_MREQ;
600
  DM_STAT_SY.emsres <= EM_SRES;
601
  DM_STAT_SY.chit   <= CACHE_CHIT;
602
 
603
  TMU : pdp11_tmu_sb
604
    generic map (
605
      ENAPIN => 13)
606
    port map (
607
      CLK        => CLK,
608
      DM_STAT_DP => DM_STAT_DP,
609
      DM_STAT_VM => DM_STAT_VM,
610
      DM_STAT_CO => DM_STAT_CO,
611
      DM_STAT_SY => DM_STAT_SY
612
    );
613
-- synthesis translate_on
614 8 wfjm
 
615 2 wfjm
end syn;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.