OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.6/] [rtl/] [sys_gen/] [w11a/] [nexys3/] [sys_w11a_n3.vhd] - Blame information for rev 24

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 22 wfjm
-- $Id: sys_w11a_n3.vhd 538 2013-10-06 17:21:25Z mueller $
2 15 wfjm
--
3 20 wfjm
-- Copyright 2011-2013 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4 15 wfjm
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Module Name:    sys_w11a_n3 - syn
16
-- Description:    w11a test design for nexys3
17
--
18 22 wfjm
-- Dependencies:   vlib/xlib/s6_cmt_sfs
19 15 wfjm
--                 vlib/genlib/clkdivce
20
--                 bplib/bpgen/bp_rs232_2l4l_iob
21
--                 bplib/bpgen/sn_humanio_rbus
22 20 wfjm
--                 bplib/fx2rlink/rlink_sp1c_fx2
23
--                 bplib/fx2rlink/ioleds_sp1c_fx2
24 15 wfjm
--                 vlib/rri/rb_sres_or_3
25
--                 w11a/pdp11_core_rbus
26
--                 w11a/pdp11_core
27
--                 w11a/pdp11_bram
28
--                 vlib/nxcramlib/nx_cram_dummy
29
--                 w11a/pdp11_cache
30
--                 w11a/pdp11_mem70
31
--                 bplib/nxcramlib/nx_cram_memctl_as
32
--                 ibus/ib_sres_or_2
33
--                 ibus/ibdr_minisys
34
--                 ibus/ibdr_maxisys
35
--                 w11a/pdp11_tmu_sb           [sim only]
36
--
37
-- Test bench:     tb/tb_sys_w11a_n3
38
--
39
-- Target Devices: generic
40 22 wfjm
-- Tool versions:  xst 13.1, 14.6; ghdl 0.29
41 15 wfjm
--
42
-- Synthesized (xst):
43
-- Date         Rev  ise         Target      flop lutl lutm slic t peri
44 20 wfjm
-- 2013-04-21   509 13.3    O76d xc6slx16-2  1516 3274  140 1184 ok: now + FX2 !
45 16 wfjm
-- 2011-12-18   440 13.1    O40d xc6slx16-2  1441 3161   96 1084 ok: LP+PC+DL+II
46 15 wfjm
-- 2011-11-20   430 13.1    O40d xc6slx16-2  1412 3206   84 1063 ok: LP+PC+DL+II
47
--
48
-- Revision History: 
49
-- Date         Rev Version  Comment
50 22 wfjm
-- 2013-10-06   538   1.5    pll support, use clksys_vcodivide ect
51 20 wfjm
-- 2013-04-21   509   1.4    added fx2 (cuff) support
52 16 wfjm
-- 2011-12-18   440   1.0.4  use rlink_sp1c
53 15 wfjm
-- 2011-12-04   435   1.0.3  increase ATOWIDTH 6->7 (saw i/o timeouts on wblks)
54
-- 2011-11-26   433   1.0.2  use nx_cram_(dummy|memctl_as) now
55
-- 2011-11-23   432   1.0.1  fixup PPCM handling
56
-- 2011-11-20   430   1.0    Initial version (derived from sys_w11a_n2)
57
------------------------------------------------------------------------------
58
--
59
-- w11a test design for nexys3
60
--    w11a + rlink + serport
61
--
62
-- Usage of Nexys 3 Switches, Buttons, LEDs:
63
--
64 20 wfjm
--    SWI(7:3): no function (only connected to sn_humanio_rbus)
65
--       (2)    0 -> int/ext RS242 port for rlink
66
--              1 -> use USB interface for rlink
67 16 wfjm
--    SWI(1):   1 enable XON
68 15 wfjm
--    SWI(0):   0 -> main board RS232 port
69
--              1 -> Pmod B/top RS232 port
70
--    
71 16 wfjm
--    LED(7)    MEM_ACT_W
72
--       (6)    MEM_ACT_R
73
--       (5)    cmdbusy (all rlink access, mostly rdma)
74
--       (4:0): if cpugo=1 show cpu mode activity
75
--                  (4) kernel mode, pri>0
76
--                  (3) kernel mode, pri=0
77
--                  (2) kernel mode, wait
78
--                  (1) supervisor mode
79 15 wfjm
--                  (0) user mode
80
--              if cpugo=0 shows cpurust
81
--                (3:0) cpurust code
82
--                  (4) '1'
83
--
84 20 wfjm
--    DP(3:0) shows IO activity
85
--            if SWI(2)=0 (serport)
86
--                  (3):    not SER_MONI.txok       (shows tx back preasure)
87
--                  (2):    SER_MONI.txact          (shows tx activity)
88
--                  (1):    not SER_MONI.rxok       (shows rx back preasure)
89
--                  (0):    SER_MONI.rxact          (shows rx activity)
90
--            if SWI(2)=1 (fx2-usb)
91
--                  (3):    RB_SRES.busy            (shows rbus back preasure)
92
--                  (2):    RLB_TXBUSY              (shows tx back preasure)
93
--                  (1):    RLB_TXENA               (shows tx activity)
94
--                  (0):    RLB_RXVAL               (shows rx activity)
95 16 wfjm
--
96 15 wfjm
 
97
library ieee;
98
use ieee.std_logic_1164.all;
99
use ieee.numeric_std.all;
100
 
101
use work.slvtypes.all;
102
use work.xlib.all;
103
use work.genlib.all;
104 19 wfjm
use work.serportlib.all;
105 15 wfjm
use work.rblib.all;
106
use work.rlinklib.all;
107 20 wfjm
use work.fx2lib.all;
108
use work.fx2rlinklib.all;
109 15 wfjm
use work.bpgenlib.all;
110 19 wfjm
use work.bpgenrbuslib.all;
111 15 wfjm
use work.nxcramlib.all;
112
use work.iblib.all;
113
use work.ibdlib.all;
114
use work.pdp11.all;
115
use work.sys_conf.all;
116
 
117
-- ----------------------------------------------------------------------------
118
 
119
entity sys_w11a_n3 is                   -- top level
120 20 wfjm
                                        -- implements nexys3_fusp_cuff_aif
121 15 wfjm
  port (
122
    I_CLK100 : in slbit;                -- 100 MHz clock
123
    I_RXD : in slbit;                   -- receive data (board view)
124
    O_TXD : out slbit;                  -- transmit data (board view)
125
    I_SWI : in slv8;                    -- n3 switches
126
    I_BTN : in slv5;                    -- n3 buttons
127
    O_LED : out slv8;                   -- n3 leds
128
    O_ANO_N : out slv4;                 -- 7 segment disp: anodes   (act.low)
129
    O_SEG_N : out slv8;                 -- 7 segment disp: segments (act.low)
130
    O_MEM_CE_N : out slbit;             -- cram: chip enable   (act.low)
131
    O_MEM_BE_N : out slv2;              -- cram: byte enables  (act.low)
132
    O_MEM_WE_N : out slbit;             -- cram: write enable  (act.low)
133
    O_MEM_OE_N : out slbit;             -- cram: output enable (act.low)
134
    O_MEM_ADV_N  : out slbit;           -- cram: address valid (act.low)
135
    O_MEM_CLK : out slbit;              -- cram: clock
136
    O_MEM_CRE : out slbit;              -- cram: command register enable
137
    I_MEM_WAIT : in slbit;              -- cram: mem wait
138
    O_MEM_ADDR  : out slv23;            -- cram: address lines
139
    IO_MEM_DATA : inout slv16;          -- cram: data lines
140
    O_PPCM_CE_N : out slbit;            -- ppcm: ...
141
    O_PPCM_RST_N : out slbit;           -- ppcm: ...
142
    O_FUSP_RTS_N : out slbit;           -- fusp: rs232 rts_n
143
    I_FUSP_CTS_N : in slbit;            -- fusp: rs232 cts_n
144
    I_FUSP_RXD : in slbit;              -- fusp: rs232 rx
145 20 wfjm
    O_FUSP_TXD : out slbit;             -- fusp: rs232 tx
146
    I_FX2_IFCLK : in slbit;             -- fx2: interface clock
147
    O_FX2_FIFO : out slv2;              -- fx2: fifo address
148
    I_FX2_FLAG : in slv4;               -- fx2: fifo flags
149
    O_FX2_SLRD_N : out slbit;           -- fx2: read enable    (act.low)
150
    O_FX2_SLWR_N : out slbit;           -- fx2: write enable   (act.low)
151
    O_FX2_SLOE_N : out slbit;           -- fx2: output enable  (act.low)
152
    O_FX2_PKTEND_N : out slbit;         -- fx2: packet end     (act.low)
153
    IO_FX2_DATA : inout slv8            -- fx2: data lines
154 15 wfjm
  );
155
end sys_w11a_n3;
156
 
157
architecture syn of sys_w11a_n3 is
158
 
159
  signal CLK :   slbit := '0';
160
 
161
  signal RXD :   slbit := '1';
162
  signal TXD :   slbit := '0';
163
  signal RTS_N : slbit := '0';
164
  signal CTS_N : slbit := '0';
165
 
166
  signal SWI     : slv8  := (others=>'0');
167
  signal BTN     : slv5  := (others=>'0');
168
  signal LED     : slv8  := (others=>'0');
169
  signal DSP_DAT : slv16 := (others=>'0');
170
  signal DSP_DP  : slv4  := (others=>'0');
171
 
172
  signal RB_LAM  : slv16 := (others=>'0');
173
  signal RB_STAT : slv3  := (others=>'0');
174 16 wfjm
 
175 20 wfjm
  signal RLB_MONI : rlb_moni_type := rlb_moni_init;
176 16 wfjm
  signal SER_MONI : serport_moni_type := serport_moni_init;
177 20 wfjm
  signal FX2_MONI : fx2ctl_moni_type  := fx2ctl_moni_init;
178 15 wfjm
 
179
  signal RB_MREQ     : rb_mreq_type := rb_mreq_init;
180
  signal RB_SRES     : rb_sres_type := rb_sres_init;
181
  signal RB_SRES_CPU : rb_sres_type := rb_sres_init;
182
  signal RB_SRES_IBD : rb_sres_type := rb_sres_init;
183
  signal RB_SRES_HIO : rb_sres_type := rb_sres_init;
184
 
185
  signal RESET   : slbit := '0';
186
  signal CE_USEC : slbit := '0';
187
  signal CE_MSEC : slbit := '0';
188
 
189
  signal CPU_RESET : slbit := '0';
190
  signal CP_CNTL : cp_cntl_type := cp_cntl_init;
191
  signal CP_ADDR : cp_addr_type := cp_addr_init;
192
  signal CP_DIN  : slv16 := (others=>'0');
193
  signal CP_STAT : cp_stat_type := cp_stat_init;
194
  signal CP_DOUT : slv16 := (others=>'0');
195
 
196
  signal EI_PRI  : slv3   := (others=>'0');
197
  signal EI_VECT : slv9_2 := (others=>'0');
198
  signal EI_ACKM : slbit  := '0';
199
 
200
  signal EM_MREQ : em_mreq_type := em_mreq_init;
201
  signal EM_SRES : em_sres_type := em_sres_init;
202
 
203
  signal HM_ENA      : slbit := '0';
204
  signal MEM70_FMISS : slbit := '0';
205
  signal CACHE_FMISS : slbit := '0';
206
  signal CACHE_CHIT  : slbit := '0';
207
 
208
  signal MEM_REQ   : slbit := '0';
209
  signal MEM_WE    : slbit := '0';
210
  signal MEM_BUSY  : slbit := '0';
211
  signal MEM_ACK_R : slbit := '0';
212
  signal MEM_ACT_R : slbit := '0';
213
  signal MEM_ACT_W : slbit := '0';
214
  signal MEM_ADDR  : slv20 := (others=>'0');
215
  signal MEM_BE    : slv4  := (others=>'0');
216
  signal MEM_DI    : slv32 := (others=>'0');
217
  signal MEM_DO    : slv32 := (others=>'0');
218
 
219
  signal MEM_ADDR_EXT : slv22 := (others=>'0');
220
 
221
  signal BRESET  : slbit := '0';
222
  signal IB_MREQ : ib_mreq_type := ib_mreq_init;
223
  signal IB_SRES : ib_sres_type := ib_sres_init;
224
 
225
  signal IB_SRES_MEM70 : ib_sres_type := ib_sres_init;
226
  signal IB_SRES_IBDR  : ib_sres_type := ib_sres_init;
227
 
228
  signal DM_STAT_DP : dm_stat_dp_type := dm_stat_dp_init;
229
  signal DM_STAT_VM : dm_stat_vm_type := dm_stat_vm_init;
230
  signal DM_STAT_CO : dm_stat_co_type := dm_stat_co_init;
231
  signal DM_STAT_SY : dm_stat_sy_type := dm_stat_sy_init;
232
 
233
  signal DISPREG : slv16 := (others=>'0');
234
 
235
  constant rbaddr_core0 : slv8 := "00000000";
236
  constant rbaddr_ibus  : slv8 := "10000000";
237
  constant rbaddr_hio   : slv8 := "11000000";
238
 
239
begin
240
 
241
  assert (sys_conf_clksys mod 1000000) = 0
242
    report "assert sys_conf_clksys on MHz grid"
243
    severity failure;
244
 
245 22 wfjm
  GEN_CLKSYS : s6_cmt_sfs
246 15 wfjm
    generic map (
247 22 wfjm
      VCO_DIVIDE     => sys_conf_clksys_vcodivide,
248
      VCO_MULTIPLY   => sys_conf_clksys_vcomultiply,
249
      OUT_DIVIDE     => sys_conf_clksys_outdivide,
250
      CLKIN_PERIOD   => 10.0,
251
      CLKIN_JITTER   => 0.01,
252
      STARTUP_WAIT   => false,
253
      GEN_TYPE       => sys_conf_clksys_gentype)
254 15 wfjm
    port map (
255
      CLKIN   => I_CLK100,
256
      CLKFX   => CLK,
257
      LOCKED  => open
258
    );
259
 
260
  CLKDIV : clkdivce
261
    generic map (
262
      CDUWIDTH => 7,
263
      USECDIV  => sys_conf_clksys_mhz,
264
      MSECDIV  => 1000)
265
    port map (
266
      CLK     => CLK,
267
      CE_USEC => CE_USEC,
268
      CE_MSEC => CE_MSEC
269
    );
270
 
271
  IOB_RS232 : bp_rs232_2l4l_iob
272
    port map (
273
      CLK      => CLK,
274
      RESET    => '0',
275
      SEL      => SWI(0),
276
      RXD      => RXD,
277
      TXD      => TXD,
278
      CTS_N    => CTS_N,
279
      RTS_N    => RTS_N,
280
      I_RXD0   => I_RXD,
281
      O_TXD0   => O_TXD,
282
      I_RXD1   => I_FUSP_RXD,
283
      O_TXD1   => O_FUSP_TXD,
284
      I_CTS1_N => I_FUSP_CTS_N,
285
      O_RTS1_N => O_FUSP_RTS_N
286
    );
287
 
288
  HIO : sn_humanio_rbus
289
    generic map (
290
      BWIDTH   => 5,
291
      DEBOUNCE => sys_conf_hio_debounce,
292
      RB_ADDR  => rbaddr_hio)
293
    port map (
294
      CLK     => CLK,
295
      RESET   => RESET,
296
      CE_MSEC => CE_MSEC,
297
      RB_MREQ => RB_MREQ,
298
      RB_SRES => RB_SRES_HIO,
299
      SWI     => SWI,
300
      BTN     => BTN,
301
      LED     => LED,
302
      DSP_DAT => DSP_DAT,
303
      DSP_DP  => DSP_DP,
304
      I_SWI   => I_SWI,
305
      I_BTN   => I_BTN,
306
      O_LED   => O_LED,
307
      O_ANO_N => O_ANO_N,
308
      O_SEG_N => O_SEG_N
309
    );
310
 
311 20 wfjm
  RLINK : rlink_sp1c_fx2
312 15 wfjm
    generic map (
313 16 wfjm
      ATOWIDTH     => 7,                -- 128 cycles access timeout
314
      ITOWIDTH     => 6,                --  64 periods max idle timeout
315
      CPREF        => c_rlink_cpref,
316
      IFAWIDTH     => 5,                --  32 word input fifo
317
      OFAWIDTH     => 5,                --  32 word output fifo
318 20 wfjm
      PETOWIDTH    => sys_conf_fx2_petowidth,
319
      CCWIDTH      => sys_conf_fx2_ccwidth,
320 16 wfjm
      ENAPIN_RLMON => sbcntl_sbf_rlmon,
321
      ENAPIN_RBMON => sbcntl_sbf_rbmon,
322
      CDWIDTH      => 13,
323
      CDINIT       => sys_conf_ser2rri_cdinit)
324 15 wfjm
    port map (
325
      CLK      => CLK,
326
      CE_USEC  => CE_USEC,
327
      CE_MSEC  => CE_MSEC,
328
      CE_INT   => CE_MSEC,
329
      RESET    => RESET,
330 16 wfjm
      ENAXON   => SWI(1),
331
      ENAESC   => SWI(1),
332 20 wfjm
      ENAFX2   => SWI(2),
333 15 wfjm
      RXSD     => RXD,
334
      TXSD     => TXD,
335
      CTS_N    => CTS_N,
336
      RTS_N    => RTS_N,
337
      RB_MREQ  => RB_MREQ,
338
      RB_SRES  => RB_SRES,
339
      RB_LAM   => RB_LAM,
340
      RB_STAT  => RB_STAT,
341
      RL_MONI  => open,
342 20 wfjm
      RLB_MONI => RLB_MONI,
343
      SER_MONI => SER_MONI,
344
      FX2_MONI => FX2_MONI,
345
      I_FX2_IFCLK    => I_FX2_IFCLK,
346
      O_FX2_FIFO     => O_FX2_FIFO,
347
      I_FX2_FLAG     => I_FX2_FLAG,
348
      O_FX2_SLRD_N   => O_FX2_SLRD_N,
349
      O_FX2_SLWR_N   => O_FX2_SLWR_N,
350
      O_FX2_SLOE_N   => O_FX2_SLOE_N,
351
      O_FX2_PKTEND_N => O_FX2_PKTEND_N,
352
      IO_FX2_DATA    => IO_FX2_DATA
353 15 wfjm
    );
354
 
355
  RB_SRES_OR : rb_sres_or_3
356
    port map (
357
      RB_SRES_1  => RB_SRES_CPU,
358
      RB_SRES_2  => RB_SRES_IBD,
359
      RB_SRES_3  => RB_SRES_HIO,
360
      RB_SRES_OR => RB_SRES
361
    );
362
 
363
  RB2CP : pdp11_core_rbus
364
    generic map (
365
      RB_ADDR_CORE => rbaddr_core0,
366
      RB_ADDR_IBUS => rbaddr_ibus)
367
    port map (
368
      CLK       => CLK,
369
      RESET     => RESET,
370
      RB_MREQ   => RB_MREQ,
371
      RB_SRES   => RB_SRES_CPU,
372
      RB_STAT   => RB_STAT,
373
      RB_LAM    => RB_LAM(0),
374
      CPU_RESET => CPU_RESET,
375
      CP_CNTL   => CP_CNTL,
376
      CP_ADDR   => CP_ADDR,
377
      CP_DIN    => CP_DIN,
378
      CP_STAT   => CP_STAT,
379
      CP_DOUT   => CP_DOUT
380
    );
381
 
382
  CORE : pdp11_core
383
    port map (
384
      CLK       => CLK,
385
      RESET     => CPU_RESET,
386
      CP_CNTL   => CP_CNTL,
387
      CP_ADDR   => CP_ADDR,
388
      CP_DIN    => CP_DIN,
389
      CP_STAT   => CP_STAT,
390
      CP_DOUT   => CP_DOUT,
391
      EI_PRI    => EI_PRI,
392
      EI_VECT   => EI_VECT,
393
      EI_ACKM   => EI_ACKM,
394
      EM_MREQ   => EM_MREQ,
395
      EM_SRES   => EM_SRES,
396
      BRESET    => BRESET,
397
      IB_MREQ_M => IB_MREQ,
398
      IB_SRES_M => IB_SRES,
399
      DM_STAT_DP => DM_STAT_DP,
400
      DM_STAT_VM => DM_STAT_VM,
401
      DM_STAT_CO => DM_STAT_CO
402
    );
403
 
404
  MEM_BRAM: if sys_conf_bram > 0 generate
405
    signal HM_VAL_BRAM : slbit := '0';
406
  begin
407
 
408
    MEM : pdp11_bram
409
      generic map (
410
        AWIDTH => sys_conf_bram_awidth)
411
      port map (
412
        CLK     => CLK,
413
        GRESET  => CPU_RESET,
414
        EM_MREQ => EM_MREQ,
415
        EM_SRES => EM_SRES
416
      );
417
 
418
    HM_VAL_BRAM <= not EM_MREQ.we;        -- assume hit if read, miss if write
419
 
420
    MEM70: pdp11_mem70
421
      port map (
422
        CLK         => CLK,
423
        CRESET      => BRESET,
424
        HM_ENA      => EM_MREQ.req,
425
        HM_VAL      => HM_VAL_BRAM,
426
        CACHE_FMISS => MEM70_FMISS,
427
        IB_MREQ     => IB_MREQ,
428
        IB_SRES     => IB_SRES_MEM70
429
      );
430
 
431
    SRAM_PROT : nx_cram_dummy           -- connect CRAM to protection dummy
432
      port map (
433
        O_MEM_CE_N  => O_MEM_CE_N,
434
        O_MEM_BE_N  => O_MEM_BE_N,
435
        O_MEM_WE_N  => O_MEM_WE_N,
436
        O_MEM_OE_N  => O_MEM_OE_N,
437
        O_MEM_ADV_N => O_MEM_ADV_N,
438
        O_MEM_CLK   => O_MEM_CLK,
439
        O_MEM_CRE   => O_MEM_CRE,
440
        I_MEM_WAIT  => I_MEM_WAIT,
441
        O_MEM_ADDR  => O_MEM_ADDR,
442
        IO_MEM_DATA => IO_MEM_DATA
443
      );
444
 
445
      O_PPCM_CE_N  <= '1';              -- keep parallel PCM memory disabled
446
      O_PPCM_RST_N <= '1';              --
447
 
448
  end generate MEM_BRAM;
449
 
450
  MEM_SRAM: if sys_conf_bram = 0 generate
451
 
452
    CACHE: pdp11_cache
453
      port map (
454
        CLK       => CLK,
455
        GRESET    => CPU_RESET,
456
        EM_MREQ   => EM_MREQ,
457
        EM_SRES   => EM_SRES,
458
        FMISS     => CACHE_FMISS,
459
        CHIT      => CACHE_CHIT,
460
        MEM_REQ   => MEM_REQ,
461
        MEM_WE    => MEM_WE,
462
        MEM_BUSY  => MEM_BUSY,
463
        MEM_ACK_R => MEM_ACK_R,
464
        MEM_ADDR  => MEM_ADDR,
465
        MEM_BE    => MEM_BE,
466
        MEM_DI    => MEM_DI,
467
        MEM_DO    => MEM_DO
468
      );
469
 
470
    MEM70: pdp11_mem70
471
      port map (
472
        CLK         => CLK,
473
        CRESET      => BRESET,
474
        HM_ENA      => HM_ENA,
475
        HM_VAL      => CACHE_CHIT,
476
        CACHE_FMISS => MEM70_FMISS,
477
        IB_MREQ     => IB_MREQ,
478
        IB_SRES     => IB_SRES_MEM70
479
      );
480
 
481
    HM_ENA      <= EM_SRES.ack_r or EM_SRES.ack_w;
482
    CACHE_FMISS <= MEM70_FMISS or sys_conf_cache_fmiss;
483
 
484
    MEM_ADDR_EXT <= "00" & MEM_ADDR;    -- just use lower 4 MB (of 16 MB)
485
 
486
    SRAM_CTL: nx_cram_memctl_as
487
      generic map (
488
        READ0DELAY => sys_conf_memctl_read0delay,
489
        READ1DELAY => sys_conf_memctl_read1delay,
490
        WRITEDELAY => sys_conf_memctl_writedelay)
491
      port map (
492
        CLK         => CLK,
493
        RESET       => CPU_RESET,
494
        REQ         => MEM_REQ,
495
        WE          => MEM_WE,
496
        BUSY        => MEM_BUSY,
497
        ACK_R       => MEM_ACK_R,
498
        ACK_W       => open,
499
        ACT_R       => MEM_ACT_R,
500
        ACT_W       => MEM_ACT_W,
501
        ADDR        => MEM_ADDR_EXT,
502
        BE          => MEM_BE,
503
        DI          => MEM_DI,
504
        DO          => MEM_DO,
505
        O_MEM_CE_N  => O_MEM_CE_N,
506
        O_MEM_BE_N  => O_MEM_BE_N,
507
        O_MEM_WE_N  => O_MEM_WE_N,
508
        O_MEM_OE_N  => O_MEM_OE_N,
509
        O_MEM_ADV_N => O_MEM_ADV_N,
510
        O_MEM_CLK   => O_MEM_CLK,
511
        O_MEM_CRE   => O_MEM_CRE,
512
        I_MEM_WAIT  => I_MEM_WAIT,
513
        O_MEM_ADDR  => O_MEM_ADDR,
514
        IO_MEM_DATA => IO_MEM_DATA
515
      );
516
 
517
      O_PPCM_CE_N  <= '1';              -- keep parallel PCM memory disabled
518
      O_PPCM_RST_N <= '1';              --
519
 
520
  end generate MEM_SRAM;
521
 
522
  IB_SRES_OR : ib_sres_or_2
523
    port map (
524
      IB_SRES_1  => IB_SRES_MEM70,
525
      IB_SRES_2  => IB_SRES_IBDR,
526
      IB_SRES_OR => IB_SRES
527
    );
528
 
529
  IBD_MINI : if false generate
530
  begin
531
    IBDR_SYS : ibdr_minisys
532
      port map (
533
        CLK      => CLK,
534
        CE_USEC  => CE_USEC,
535
        CE_MSEC  => CE_MSEC,
536
        RESET    => CPU_RESET,
537
        BRESET   => BRESET,
538
        RB_LAM   => RB_LAM(15 downto 1),
539
        IB_MREQ  => IB_MREQ,
540
        IB_SRES  => IB_SRES_IBDR,
541
        EI_ACKM  => EI_ACKM,
542
        EI_PRI   => EI_PRI,
543
        EI_VECT  => EI_VECT,
544
        DISPREG  => DISPREG
545
      );
546
  end generate IBD_MINI;
547
 
548
  IBD_MAXI : if true generate
549
  begin
550
    IBDR_SYS : ibdr_maxisys
551
      port map (
552
        CLK      => CLK,
553
        CE_USEC  => CE_USEC,
554
        CE_MSEC  => CE_MSEC,
555
        RESET    => CPU_RESET,
556
        BRESET   => BRESET,
557
        RB_LAM   => RB_LAM(15 downto 1),
558
        IB_MREQ  => IB_MREQ,
559
        IB_SRES  => IB_SRES_IBDR,
560
        EI_ACKM  => EI_ACKM,
561
        EI_PRI   => EI_PRI,
562
        EI_VECT  => EI_VECT,
563
        DISPREG  => DISPREG
564
      );
565
  end generate IBD_MAXI;
566
 
567 20 wfjm
  IOLEDS : ioleds_sp1c_fx2
568
    port map (
569
      CLK      => CLK,
570
      CE_USEC  => CE_USEC,
571
      RESET    => CPU_RESET,
572
      ENAFX2   => SWI(2),
573
      RB_SRES  => RB_SRES,
574
      RLB_MONI => RLB_MONI,
575
      SER_MONI => SER_MONI,
576
      IOLEDS   => DSP_DP
577
    );
578
 
579 15 wfjm
  DSP_DAT(15 downto 0) <= DISPREG;
580
 
581
  proc_led: process (MEM_ACT_W, MEM_ACT_R, CP_STAT, DM_STAT_DP.psw)
582
    variable iled : slv8 := (others=>'0');
583
  begin
584
    iled := (others=>'0');
585
    iled(7) := MEM_ACT_W;
586
    iled(6) := MEM_ACT_R;
587
    iled(5) := CP_STAT.cmdbusy;
588
    if CP_STAT.cpugo = '1' then
589
      case DM_STAT_DP.psw.cmode is
590
        when c_psw_kmode =>
591
          if CP_STAT.cpuwait = '1' then
592
            iled(2) := '1';
593
          elsif unsigned(DM_STAT_DP.psw.pri) = 0 then
594
            iled(3) := '1';
595
          else
596
            iled(4) := '1';
597
          end if;
598
        when c_psw_smode =>
599
          iled(1) := '1';
600
        when c_psw_umode =>
601
          iled(0) := '1';
602
        when others => null;
603
      end case;
604
    else
605
      iled(4) := '1';
606
      iled(3 downto 0) := CP_STAT.cpurust;
607
    end if;
608
    LED <= iled;
609
  end process;
610
 
611
-- synthesis translate_off
612
  DM_STAT_SY.emmreq <= EM_MREQ;
613
  DM_STAT_SY.emsres <= EM_SRES;
614
  DM_STAT_SY.chit   <= CACHE_CHIT;
615
 
616
  TMU : pdp11_tmu_sb
617
    generic map (
618
      ENAPIN => 13)
619
    port map (
620
      CLK        => CLK,
621
      DM_STAT_DP => DM_STAT_DP,
622
      DM_STAT_VM => DM_STAT_VM,
623
      DM_STAT_CO => DM_STAT_CO,
624
      DM_STAT_SY => DM_STAT_SY
625
    );
626
-- synthesis translate_on
627
 
628
end syn;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.