OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.6/] [rtl/] [sys_gen/] [w11a/] [s3board/] [sys_w11a_s3.vhd] - Blame information for rev 12

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 12 wfjm
-- $Id: sys_w11a_s3.vhd 404 2011-08-07 22:00:25Z mueller $
2 2 wfjm
--
3 12 wfjm
-- Copyright 2007-2011 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4 2 wfjm
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Module Name:    sys_w11a_s3 - syn
16
-- Description:    w11a test design for s3board
17
--
18
-- Dependencies:   vlib/genlib/clkdivce
19 12 wfjm
--                 bplib/bpgen/bp_rs232_2l4l_iob
20
--                 bplib/bpgen/sn_humanio
21 9 wfjm
--                 vlib/rlink/rlink_base_serport
22
--                 vlib/rbus/rb_sres_or_2
23
--                 w11a/pdp11_core_rbus
24 2 wfjm
--                 w11a/pdp11_core
25
--                 w11a/pdp11_bram
26
--                 vlib/s3board/s3_sram_dummy
27
--                 w11a/pdp11_cache
28
--                 w11a/pdp11_mem70
29
--                 bplib/s3board/s3_sram_memctl
30
--                 ibus/ib_sres_or_2
31
--                 ibus/ibdr_minisys
32
--                 ibus/ibdr_maxisys
33
--                 w11a/pdp11_tmu_sb           [sim only]
34
--
35 12 wfjm
-- Test bench:     tb/tb_sys_w11a_s3
36 2 wfjm
--
37
-- Target Devices: generic
38 8 wfjm
-- Tool versions:  xst 8.1, 8.2, 9.1, 9.2, 10.1, 11.4, 12.1; ghdl 0.18-0.29
39 2 wfjm
--
40
-- Synthesized (xst):
41
-- Date         Rev  ise         Target      flop lutl lutm slic t peri
42 9 wfjm
-- 2010-12-30   351 12.1    M53d xc3s1000-4  1316 4291  242 2609 OK: LP+PC+DL+II
43 8 wfjm
-- 2010-11-06   336 12.1    M53d xc3s1000-4  1284 4253* 242 2575 OK: LP+PC+DL+II
44
-- 2010-10-24   335 12.1    M53d xc3s1000-4  1284 4495  242 2575 OK: LP+PC+DL+II
45 2 wfjm
-- 2010-05-01   285 11.4    L68  xc3s1000-4  1239 4086  224 2471 OK: LP+PC+DL+II
46
-- 2010-04-26   283 11.4    L68  xc3s1000-4  1245 4083  224 2474 OK: LP+PC+DL+II
47
-- 2009-07-12   233 11.2    L46  xc3s1000-4  1245 4078  224 2472 OK: LP+PC+DL+II
48
-- 2009-07-12   233 10.1.03 K39  xc3s1000-4  1250 4097  224 2494 OK: LP+PC+DL+II
49
-- 2009-06-01   221 10.1.03 K39  xc3s1000-4  1209 3986  224 2425 OK: LP+PC+DL+II
50
-- 2009-05-17   216 10.1.03 K39  xc3s1000-4  1039 3542  224 2116 m+p; TIME OK
51
-- 2009-05-09   213 10.1.03 K39  xc3s1000-4  1037 3500  224 2100 m+p; TIME OK
52
-- 2009-04-26   209  8.2.03 I34  xc3s1000-4  1099 3557  224 2264 m+p; TIME OK
53
-- 2008-12-13   176  8.2.03 I34  xc3s1000-4  1116 3672  224 2280 m+p; TIME OK
54
-- 2008-12-06   174 10.1.02 K37  xc3s1000-4  1038 3503  224 2100 m+p; TIME OK
55
-- 2008-12-06   174  8.2.03 I34  xc3s1000-4  1116 3682  224 2281 m+p; TIME OK
56
-- 2008-08-22   161  8.2.03 I34  xc3s1000-4  1118 3677  224 2288 m+p; TIME OK
57
-- 2008-08-22   161 10.1.02 K37  xc3s1000-4  1035 3488  224 2086 m+p; TIME OK
58
-- 2008-05-01   140  8.2.03 I34  xc3s1000-4  1057 3344  224 2119 m+p; 21ns;BR-32
59
-- 2008-05-01   140  8.2.03 I34  xc3s1000-4  1057 3357  224 2128 m+p; 21ns;BR-16
60
-- 2008-05-01   140  8.2.03 I34  xc3s1000-4  1057 3509  224 2220 m+p; TIME OK
61
-- 2008-05-01   140  9.2.04 J40  xc3s200-4   1009 3195  224 1918 m+p; T-OK;BR-16
62
-- 2008-03-19   127  8.2.03 I34  xc3s1000-4  1077 3471  224 2207 m+p; TIME OK
63
-- 2008-03-02   122  8.2.03 I34  xc3s1000-4  1068 3448  224 2179 m+p; TIME OK
64
-- 2008-03-02   121  8.2.03 I34  xc3s1000-4  1064 3418  224 2148 m+p; TIME FAIL
65
-- 2008-02-24   119  8.2.03 I34  xc3s1000-4  1071 3372  224 2141 m+p; TIME OK
66
-- 2008-02-23   118  8.2.03 I34  xc3s1000-4  1035 3301  182 1996 m+p; TIME OK
67
-- 2008-01-06   111  8.2.03 I34  xc3s1000-4   971 2898  182 1831 m+p; TIME OK
68
-- 2007-12-30   107  8.2.03 I34  xc3s1000-4   891 2719  137 1515 s 18.8
69
-- 2007-12-30   107  8.2.03 I34  xc3s1000-4   891 2661  137 1654 m+p; TIME OK
70 8 wfjm
--   Note: till 2010-10-24 lutm included 'route-thru', after only logic
71 2 wfjm
--
72
-- Revision History: 
73
-- Date         Rev Version  Comment
74 12 wfjm
-- 2011-07-09   391   1.4.2  use now bp_rs232_2l4l_iob
75
-- 2011-07-08   390   1.4.1  use now sn_humanio
76 9 wfjm
-- 2010-12-30   351   1.4    ported to rbv3
77 8 wfjm
-- 2010-11-06   336   1.3.7  rename input pin CLK -> I_CLK50
78
-- 2010-10-23   335   1.3.3  rename RRI_LAM->RB_LAM;
79 2 wfjm
-- 2010-06-26   309   1.3.2  use constants for rbus addresses (rbaddr_...)
80
-- 2010-06-18   306   1.3.1  rename RB_ADDR->RB_ADDR_CORE, add RB_ADDR_IBUS;
81
--                           remove pdp11_ibdr_rri
82
-- 2010-06-13   305   1.6.1  add CP_ADDR, wire up pdp11_core_rri->pdp11_core
83
-- 2010-06-11   303   1.6    use IB_MREQ.racc instead of RRI_REQ
84
-- 2010-06-03   300   1.5.6  use default FAWIDTH for rri_core_serport
85
-- 2010-05-28   295   1.5.5  rename sys_pdp11core -> sys_w11a_s3
86
-- 2010-05-21   292   1.5.4  rename _PM1_ -> _FUSP_
87
-- 2010-05-16   291   1.5.3  rename memctl_s3sram->s3_sram_memctl
88
-- 2010-05-05   288   1.5.2  add sys_conf_hio_debounce
89
-- 2010-05-02   287   1.5.1  ren CE_XSEC->CE_INT,RP_STAT->RB_STAT,AP_LAM->RB_LAM
90
--                           drop RP_IINT from interfaces; drop RTSFLUSH generic
91
--                           add pm1 rs232 (usp) support
92
-- 2010-05-01   285   1.5    port to rri V2 interface, use rri_core_serport
93
-- 2010-04-17   278   1.4.5  rename sram_dummy -> s3_sram_dummy
94
-- 2010-04-10   275   1.4.4  use s3_humanio; invert DP(1,3)
95
-- 2009-07-12   233   1.4.3  adapt to ibdr_(mini|maxi)sys interface changes
96
-- 2009-06-01   221   1.4.2  support ibdr_maxisys as well as _minisys
97
-- 2009-05-10   214   1.4.1  use pdp11_tmu_sb instead of pdp11_tmu
98
-- 2008-08-22   161   1.4.0  use iblib, ibdlib; renames
99
-- 2008-05-03   143   1.3.6  rename _cpursta->_cpurust
100
-- 2008-05-01   142   1.3.5  reassign LED(cpugo,halt,rust) and DISP(dispreg)
101
-- 2008-04-19   137   1.3.4  add DM_STAT_(DP|VM|CO|SY) signals, add pdp11_tmu
102
-- 2008-04-18   136   1.3.3  add RESET for ibdr_minisys
103
-- 2008-04-13   135   1.3.2  add _mem70 also for _bram configs
104
-- 2008-02-23   118   1.3.1  add _mem70
105
-- 2008-02-17   117   1.3    use ext. memory interface of _core; 
106
--                           use _cache + memctl or _bram (configurable)
107
-- 2008-01-20   113   1.2.1  finalize AP_LAM handling (0=cpu,1=dl11;4=rk05)
108
-- 2008-01-20   112   1.2    rename clkgen->clkdivce; use ibdr_minisys, BRESET
109
--                           add _ib_mux2
110
-- 2008-01-06   111   1.1    use now iob_reg_*; remove rricp_pdp11core hack
111
--                           instanciate all parts directly
112
-- 2007-12-23   105   1.0.4  add rritb_cpmon_sb
113
-- 2007-12-16   101   1.0.3  use _N for active low; set IOB attribute to RI/RO
114
-- 2007-12-09   100   1.0.2  add sram memory signals, dummy handle them
115
-- 2007-10-19    90   1.0.1  init RI_RXD,RO_TXD=1 to avoid startup glitch
116
-- 2007-09-23    84   1.0    Initial version
117
------------------------------------------------------------------------------
118
--
119
-- w11a test design for s3board
120 9 wfjm
--    w11a + rlink + serport
121 2 wfjm
--
122
-- Usage of S3BOARD Switches, Buttons, LEDs:
123
--    LED(7..0):last RXDATA
124
--
125
--    DP(0):    RXSD   (inverted to signal activity)
126
--    DP(1):    RTS_N  (shows rx back preasure)
127
--    DP(2):    TXSD   (inverted to signal activity)
128
--    DP(3):    CTS_N  (shows tx back preasure)
129
 
130
library ieee;
131
use ieee.std_logic_1164.all;
132
use ieee.std_logic_arith.all;
133
 
134
use work.slvtypes.all;
135
use work.genlib.all;
136 9 wfjm
use work.rblib.all;
137
use work.rlinklib.all;
138 12 wfjm
use work.bpgenlib.all;
139 2 wfjm
use work.s3boardlib.all;
140
use work.iblib.all;
141
use work.ibdlib.all;
142
use work.pdp11.all;
143
use work.sys_conf.all;
144
 
145
-- ----------------------------------------------------------------------------
146
 
147
entity sys_w11a_s3 is                   -- top level
148
                                        -- implements s3board_fusp_aif
149
  port (
150 8 wfjm
    I_CLK50 : in slbit;                 -- 50 MHz board clock
151 2 wfjm
    I_RXD : in slbit;                   -- receive data (board view)
152
    O_TXD : out slbit;                  -- transmit data (board view)
153
    I_SWI : in slv8;                    -- s3 switches
154
    I_BTN : in slv4;                    -- s3 buttons
155
    O_LED : out slv8;                   -- s3 leds
156
    O_ANO_N : out slv4;                 -- 7 segment disp: anodes   (act.low)
157
    O_SEG_N : out slv8;                 -- 7 segment disp: segments (act.low)
158
    O_MEM_CE_N : out slv2;              -- sram: chip enables  (act.low)
159
    O_MEM_BE_N : out slv4;              -- sram: byte enables  (act.low)
160
    O_MEM_WE_N : out slbit;             -- sram: write enable  (act.low)
161
    O_MEM_OE_N : out slbit;             -- sram: output enable (act.low)
162
    O_MEM_ADDR  : out slv18;            -- sram: address lines
163
    IO_MEM_DATA : inout slv32;          -- sram: data lines
164
    O_FUSP_RTS_N : out slbit;           -- fusp: rs232 rts_n
165
    I_FUSP_CTS_N : in slbit;            -- fusp: rs232 cts_n
166
    I_FUSP_RXD : in slbit;              -- fusp: rs232 rx
167
    O_FUSP_TXD : out slbit              -- fusp: rs232 tx
168
  );
169
end sys_w11a_s3;
170
 
171
architecture syn of sys_w11a_s3 is
172
 
173 8 wfjm
  signal CLK :   slbit := '0';
174
 
175 2 wfjm
  signal RXD :   slbit := '1';
176
  signal TXD :   slbit := '0';
177
  signal RTS_N : slbit := '0';
178
  signal CTS_N : slbit := '0';
179
 
180
  signal SWI     : slv8  := (others=>'0');
181
  signal BTN     : slv4  := (others=>'0');
182
  signal LED     : slv8  := (others=>'0');
183
  signal DSP_DAT : slv16 := (others=>'0');
184
  signal DSP_DP  : slv4  := (others=>'0');
185
 
186
  signal RB_LAM  : slv16 := (others=>'0');
187
  signal RB_STAT : slv3  := (others=>'0');
188
 
189
  signal RB_MREQ     : rb_mreq_type := rb_mreq_init;
190
  signal RB_SRES     : rb_sres_type := rb_sres_init;
191
  signal RB_SRES_CPU : rb_sres_type := rb_sres_init;
192
  signal RB_SRES_IBD : rb_sres_type := rb_sres_init;
193
 
194
  signal RESET   : slbit := '0';
195
  signal CE_USEC : slbit := '0';
196
  signal CE_MSEC : slbit := '0';
197
 
198
  signal CPU_RESET : slbit := '0';
199
  signal CP_CNTL : cp_cntl_type := cp_cntl_init;
200
  signal CP_ADDR : cp_addr_type := cp_addr_init;
201
  signal CP_DIN  : slv16 := (others=>'0');
202
  signal CP_STAT : cp_stat_type := cp_stat_init;
203
  signal CP_DOUT : slv16 := (others=>'0');
204
 
205
  signal EI_PRI  : slv3   := (others=>'0');
206
  signal EI_VECT : slv9_2 := (others=>'0');
207
  signal EI_ACKM : slbit  := '0';
208
 
209
  signal EM_MREQ : em_mreq_type := em_mreq_init;
210
  signal EM_SRES : em_sres_type := em_sres_init;
211
 
212
  signal HM_ENA      : slbit := '0';
213
  signal MEM70_FMISS : slbit := '0';
214
  signal CACHE_FMISS : slbit := '0';
215
  signal CACHE_CHIT  : slbit := '0';
216
 
217
  signal MEM_REQ   : slbit := '0';
218
  signal MEM_WE    : slbit := '0';
219
  signal MEM_BUSY  : slbit := '0';
220
  signal MEM_ACK_R : slbit := '0';
221
  signal MEM_ADDR  : slv20 := (others=>'0');
222
  signal MEM_BE    : slv4  := (others=>'0');
223
  signal MEM_DI    : slv32 := (others=>'0');
224
  signal MEM_DO    : slv32 := (others=>'0');
225
 
226
  signal BRESET  : slbit := '0';
227
  signal IB_MREQ : ib_mreq_type := ib_mreq_init;
228
  signal IB_SRES : ib_sres_type := ib_sres_init;
229
 
230
  signal IB_SRES_MEM70 : ib_sres_type := ib_sres_init;
231
  signal IB_SRES_IBDR  : ib_sres_type := ib_sres_init;
232
 
233
  signal DM_STAT_DP : dm_stat_dp_type := dm_stat_dp_init;
234
  signal DM_STAT_VM : dm_stat_vm_type := dm_stat_vm_init;
235
  signal DM_STAT_CO : dm_stat_co_type := dm_stat_co_init;
236
  signal DM_STAT_SY : dm_stat_sy_type := dm_stat_sy_init;
237
 
238
  signal DISPREG : slv16 := (others=>'0');
239
 
240
  constant rbaddr_core0 : slv8 := "00000000";
241
  constant rbaddr_ibus  : slv8 := "10000000";
242
  constant rbaddr_hio   : slv8 := "11000000";
243
 
244
begin
245
 
246 8 wfjm
  CLK <= I_CLK50;                       -- use 50MHz as system clock
247
 
248 2 wfjm
  CLKDIV : clkdivce
249
    generic map (
250
      CDUWIDTH => 6,
251
      USECDIV  => 50,
252
      MSECDIV  => 1000)
253
    port map (
254
      CLK     => CLK,
255
      CE_USEC => CE_USEC,
256
      CE_MSEC => CE_MSEC
257
    );
258
 
259 12 wfjm
  IOB_RS232 : bp_rs232_2l4l_iob
260 2 wfjm
    port map (
261
      CLK      => CLK,
262 12 wfjm
      RESET    => '0',
263 2 wfjm
      SEL      => SWI(0),
264
      RXD      => RXD,
265
      TXD      => TXD,
266
      CTS_N    => CTS_N,
267
      RTS_N    => RTS_N,
268
      I_RXD0   => I_RXD,
269
      O_TXD0   => O_TXD,
270
      I_RXD1   => I_FUSP_RXD,
271
      O_TXD1   => O_FUSP_TXD,
272
      I_CTS1_N => I_FUSP_CTS_N,
273
      O_RTS1_N => O_FUSP_RTS_N
274
    );
275
 
276 12 wfjm
  HIO : sn_humanio
277 2 wfjm
    generic map (
278
      DEBOUNCE => sys_conf_hio_debounce)
279
    port map (
280
      CLK     => CLK,
281
      RESET   => RESET,
282
      CE_MSEC => CE_MSEC,
283
      SWI     => SWI,
284
      BTN     => BTN,
285
      LED     => LED,
286
      DSP_DAT => DSP_DAT,
287
      DSP_DP  => DSP_DP,
288
      I_SWI   => I_SWI,
289
      I_BTN   => I_BTN,
290
      O_LED   => O_LED,
291
      O_ANO_N => O_ANO_N,
292
      O_SEG_N => O_SEG_N
293
    );
294
 
295 9 wfjm
  RLINK : rlink_base_serport
296 2 wfjm
    generic map (
297
      ATOWIDTH =>  6,                   -- 64 cycles access timeout
298
      ITOWIDTH =>  6,                   -- 64 periods max idle timeout
299 9 wfjm
      IFAWIDTH =>  5,                   -- 32 word input fifo
300
      OFAWIDTH =>  0,                   -- no output fifo
301 2 wfjm
      CDWIDTH  => 13,
302
      CDINIT   => sys_conf_ser2rri_cdinit)
303
    port map (
304
      CLK      => CLK,
305
      CE_USEC  => CE_USEC,
306
      CE_MSEC  => CE_MSEC,
307
      CE_INT   => CE_MSEC,
308
      RESET    => RESET,
309
      RXSD     => RXD,
310
      TXSD     => TXD,
311
      CTS_N    => CTS_N,
312
      RTS_N    => RTS_N,
313
      RB_MREQ  => RB_MREQ,
314
      RB_SRES  => RB_SRES,
315
      RB_LAM   => RB_LAM,
316 9 wfjm
      RB_STAT  => RB_STAT,
317
      RL_MONI  => open,
318
      RL_SER_MONI => open
319 2 wfjm
    );
320
 
321
  RB_SRES_OR : rb_sres_or_2
322
    port map (
323
      RB_SRES_1  => RB_SRES_CPU,
324
      RB_SRES_2  => RB_SRES_IBD,
325
      RB_SRES_OR => RB_SRES
326
    );
327
 
328 9 wfjm
  RP2CP : pdp11_core_rbus
329 2 wfjm
    generic map (
330
      RB_ADDR_CORE => rbaddr_core0,
331
      RB_ADDR_IBUS => rbaddr_ibus)
332
    port map (
333
      CLK       => CLK,
334
      RESET     => RESET,
335
      RB_MREQ   => RB_MREQ,
336
      RB_SRES   => RB_SRES_CPU,
337
      RB_STAT   => RB_STAT,
338 8 wfjm
      RB_LAM    => RB_LAM(0),
339 2 wfjm
      CPU_RESET => CPU_RESET,
340
      CP_CNTL   => CP_CNTL,
341
      CP_ADDR   => CP_ADDR,
342
      CP_DIN    => CP_DIN,
343
      CP_STAT   => CP_STAT,
344
      CP_DOUT   => CP_DOUT
345
    );
346
 
347
  CORE : pdp11_core
348
    port map (
349
      CLK       => CLK,
350
      RESET     => CPU_RESET,
351
      CP_CNTL   => CP_CNTL,
352
      CP_ADDR   => CP_ADDR,
353
      CP_DIN    => CP_DIN,
354
      CP_STAT   => CP_STAT,
355
      CP_DOUT   => CP_DOUT,
356
      EI_PRI    => EI_PRI,
357
      EI_VECT   => EI_VECT,
358
      EI_ACKM   => EI_ACKM,
359
      EM_MREQ   => EM_MREQ,
360
      EM_SRES   => EM_SRES,
361
      BRESET    => BRESET,
362
      IB_MREQ_M => IB_MREQ,
363
      IB_SRES_M => IB_SRES,
364
      DM_STAT_DP => DM_STAT_DP,
365
      DM_STAT_VM => DM_STAT_VM,
366
      DM_STAT_CO => DM_STAT_CO
367
    );
368
 
369
  MEM_BRAM: if sys_conf_bram > 0 generate
370
    signal HM_VAL_BRAM : slbit := '0';
371
  begin
372
 
373
    MEM : pdp11_bram
374
      generic map (
375
        AWIDTH => sys_conf_bram_awidth)
376
      port map (
377
        CLK     => CLK,
378
        GRESET  => CPU_RESET,
379
        EM_MREQ => EM_MREQ,
380
        EM_SRES => EM_SRES
381
      );
382
 
383
    HM_VAL_BRAM <= not EM_MREQ.we;        -- assume hit if read, miss if write
384
 
385
    MEM70: pdp11_mem70
386
      port map (
387
        CLK         => CLK,
388
        CRESET      => BRESET,
389
        HM_ENA      => EM_MREQ.req,
390
        HM_VAL      => HM_VAL_BRAM,
391
        CACHE_FMISS => MEM70_FMISS,
392
        IB_MREQ     => IB_MREQ,
393
        IB_SRES     => IB_SRES_MEM70
394
      );
395
 
396
    SRAM_PROT : s3_sram_dummy             -- connect SRAM to protection dummy
397
      port map (
398
        O_MEM_CE_N  => O_MEM_CE_N,
399
        O_MEM_BE_N  => O_MEM_BE_N,
400
        O_MEM_WE_N  => O_MEM_WE_N,
401
        O_MEM_OE_N  => O_MEM_OE_N,
402
        O_MEM_ADDR  => O_MEM_ADDR,
403
        IO_MEM_DATA => IO_MEM_DATA
404
      );
405
 
406
  end generate MEM_BRAM;
407
 
408
  MEM_SRAM: if sys_conf_bram = 0 generate
409
 
410
    CACHE: pdp11_cache
411
      port map (
412
        CLK       => CLK,
413
        GRESET    => CPU_RESET,
414
        EM_MREQ   => EM_MREQ,
415
        EM_SRES   => EM_SRES,
416
        FMISS     => CACHE_FMISS,
417
        CHIT      => CACHE_CHIT,
418
        MEM_REQ   => MEM_REQ,
419
        MEM_WE    => MEM_WE,
420
        MEM_BUSY  => MEM_BUSY,
421
        MEM_ACK_R => MEM_ACK_R,
422
        MEM_ADDR  => MEM_ADDR,
423
        MEM_BE    => MEM_BE,
424
        MEM_DI    => MEM_DI,
425
        MEM_DO    => MEM_DO
426
      );
427
 
428
    MEM70: pdp11_mem70
429
      port map (
430
        CLK         => CLK,
431
        CRESET      => BRESET,
432
        HM_ENA      => HM_ENA,
433
        HM_VAL      => CACHE_CHIT,
434
        CACHE_FMISS => MEM70_FMISS,
435
        IB_MREQ     => IB_MREQ,
436
        IB_SRES     => IB_SRES_MEM70
437
      );
438
 
439
    HM_ENA      <= EM_SRES.ack_r or EM_SRES.ack_w;
440
    CACHE_FMISS <= MEM70_FMISS or sys_conf_cache_fmiss;
441
 
442
    SRAM_CTL: s3_sram_memctl
443
      port map (
444
        CLK         => CLK,
445
        RESET       => CPU_RESET,
446
        REQ         => MEM_REQ,
447
        WE          => MEM_WE,
448
        BUSY        => MEM_BUSY,
449
        ACK_R       => MEM_ACK_R,
450
        ACK_W       => open,
451
        ACT_R       => open,
452
        ACT_W       => open,
453
        ADDR        => MEM_ADDR(17 downto 0),
454
        BE          => MEM_BE,
455
        DI          => MEM_DI,
456
        DO          => MEM_DO,
457
        O_MEM_CE_N  => O_MEM_CE_N,
458
        O_MEM_BE_N  => O_MEM_BE_N,
459
        O_MEM_WE_N  => O_MEM_WE_N,
460
        O_MEM_OE_N  => O_MEM_OE_N,
461
        O_MEM_ADDR  => O_MEM_ADDR,
462
        IO_MEM_DATA => IO_MEM_DATA
463
      );
464
 
465
  end generate MEM_SRAM;
466
 
467
  IB_SRES_OR : ib_sres_or_2
468
    port map (
469
      IB_SRES_1  => IB_SRES_MEM70,
470
      IB_SRES_2  => IB_SRES_IBDR,
471
      IB_SRES_OR => IB_SRES);
472
 
473
  IBD_MINI : if false generate
474
  begin
475
    IBDR_SYS : ibdr_minisys
476
      port map (
477
        CLK      => CLK,
478
        CE_USEC  => CE_USEC,
479
        CE_MSEC  => CE_MSEC,
480
        RESET    => CPU_RESET,
481
        BRESET   => BRESET,
482 8 wfjm
        RB_LAM   => RB_LAM(15 downto 1),
483 2 wfjm
        IB_MREQ  => IB_MREQ,
484
        IB_SRES  => IB_SRES_IBDR,
485
        EI_ACKM  => EI_ACKM,
486
        EI_PRI   => EI_PRI,
487
        EI_VECT  => EI_VECT,
488
        DISPREG  => DISPREG);
489
  end generate IBD_MINI;
490
 
491
  IBD_MAXI : if true generate
492
  begin
493
    IBDR_SYS : ibdr_maxisys
494
      port map (
495
        CLK      => CLK,
496
        CE_USEC  => CE_USEC,
497
        CE_MSEC  => CE_MSEC,
498
        RESET    => CPU_RESET,
499
        BRESET   => BRESET,
500 8 wfjm
        RB_LAM   => RB_LAM(15 downto 1),
501 2 wfjm
        IB_MREQ  => IB_MREQ,
502
        IB_SRES  => IB_SRES_IBDR,
503
        EI_ACKM  => EI_ACKM,
504
        EI_PRI   => EI_PRI,
505
        EI_VECT  => EI_VECT,
506
        DISPREG  => DISPREG);
507
  end generate IBD_MAXI;
508
 
509
  DSP_DAT(15 downto 0) <= DISPREG;
510
  DSP_DP(0) <= not RXD;
511
  DSP_DP(1) <= RTS_N;
512
  DSP_DP(2) <= not TXD;
513
  DSP_DP(3) <= CTS_N;
514
 
515
  LED(0)          <= CP_STAT.cpugo;
516
  LED(1)          <= CP_STAT.cpuhalt;
517
  LED(5 downto 2) <= CP_STAT.cpurust;
518
  LED(6) <= SWI(0) or SWI(1) or SWI(2) or SWI(3) or
519
            SWI(4) or SWI(5) or SWI(6) or SWI(7);
520
  LED(7) <= BTN(0) or BTN(1) or BTN(2) or BTN(3);
521
 
522
-- synthesis translate_off
523
  DM_STAT_SY.emmreq <= EM_MREQ;
524
  DM_STAT_SY.emsres <= EM_SRES;
525
  DM_STAT_SY.chit   <= CACHE_CHIT;
526
 
527
  TMU : pdp11_tmu_sb
528
    generic map (
529
      ENAPIN => 13)
530
    port map (
531
      CLK        => CLK,
532
      DM_STAT_DP => DM_STAT_DP,
533
      DM_STAT_VM => DM_STAT_VM,
534
      DM_STAT_CO => DM_STAT_CO,
535
      DM_STAT_SY => DM_STAT_SY
536
    );
537
 
538
-- synthesis translate_on
539
end syn;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.