OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.6/] [rtl/] [vlib/] [genlib/] [genlib.vhd] - Blame information for rev 12

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 12 wfjm
-- $Id: genlib.vhd 389 2011-07-07 21:59:00Z mueller $
2 2 wfjm
--
3
-- Copyright 2007-2010 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Package Name:   genlib
16
-- Description:    some general purpose components
17
--
18
-- Dependencies:   -
19
-- Tool versions:  xst 8.1, 8.2, 9.1, 9.2, 11.4; ghdl 0.18-0.26
20
-- Revision History: 
21
-- Date         Rev Version  Comment
22
-- 2010-04-17   277   1.0.7  timer: no default for START,DONE,BUSY; drop STOP
23
-- 2010-04-02   273   1.0.6  add timer
24
-- 2008-01-20   112   1.0.5  rename clkgen->clkdivce
25
-- 2007-12-26   106   1.0.4  added gray_cnt_(4|5|n|gen) and gray2bin_gen
26
-- 2007-12-25   105   1.0.3  RESET:='0' defaults
27
-- 2007-06-17    58   1.0.2  added debounce_gen
28
-- 2007-06-16    57   1.0.1  added cnt_array_dram, cnt_array_regs
29
-- 2007-06-03    45   1.0    Initial version 
30
------------------------------------------------------------------------------
31
 
32
library ieee;
33
use ieee.std_logic_1164.all;
34
 
35
use work.slvtypes.all;
36
 
37
package genlib is
38
 
39
component clkdivce is                   -- generate usec/msec ce pulses
40
  generic (
41
    CDUWIDTH : positive := 6;           -- usec clock divider width
42
    USECDIV : positive :=  50;          -- divider ratio for usec pulse
43
    MSECDIV : positive := 1000);        -- divider ratio for msec pulse
44
  port (
45
    CLK     : in slbit;                 -- input clock
46
    CE_USEC : out slbit;                -- usec pulse
47
    CE_MSEC : out slbit                 -- msec pulse
48
  );
49
end component;
50
 
51
component cnt_array_dram is             -- counter array, dram based
52
  generic (
53
    AWIDTH : positive := 4;             -- address width
54
    DWIDTH : positive := 16);           -- data width
55
  port (
56
    CLK : in slbit;                     -- clock
57
    RESET : in slbit := '0';            -- clear counters
58
    CE : in slv(2**AWIDTH-1 downto 0);  -- count enables
59
    ADDR : out slv(AWIDTH-1 downto 0);  -- counter address
60
    DATA : out slv(DWIDTH-1 downto 0);  -- counter data
61
    ACT : out slbit                     -- active (not reseting)
62
  );
63
end component;
64
 
65
component cnt_array_regs is             -- counter array, register based
66
  generic (
67
    AWIDTH : positive := 4;             -- address width
68
    DWIDTH : positive := 16);           -- data width
69
  port (
70
    CLK : in slbit;                     -- clock
71
    RESET : in slbit := '0';            -- clear counters
72
    CE : in slv(2**AWIDTH-1 downto 0);  -- count enables
73
    ADDR : in slv(AWIDTH-1 downto 0);   -- address
74
    DATA : out slv(DWIDTH-1 downto 0)   -- counter data
75
  );
76
end component;
77
 
78
component debounce_gen is               -- debounce, generic vector
79
  generic (
80
    CWIDTH : positive := 2;             -- clock interval counter width
81
    CEDIV : positive := 3;              -- clock interval divider
82
    DWIDTH : positive := 8);            -- data width
83
  port (
84
    CLK : in slbit;                     -- clock
85
    RESET : in slbit := '0';            -- reset
86
    CE_INT : in slbit;                  -- clock interval enable (usec or msec)
87
    DI : in slv(DWIDTH-1 downto 0);     -- data in
88
    DO : out slv(DWIDTH-1 downto 0)     -- data out
89
  );
90
end component;
91
 
92
component gray_cnt_gen is               -- gray code counter, generic vector
93
  generic (
94
    DWIDTH : positive := 4);            -- data width
95
  port (
96
    CLK : in slbit;                     -- clock
97
    RESET : in slbit := '0';            -- reset
98
    CE : in slbit := '1';               -- count enable
99
    DATA : out slv(DWIDTH-1 downto 0)   -- data out
100
  );
101
end component;
102
 
103
component gray_cnt_4 is                 -- 4 bit gray code counter (ROM based)
104
  port (
105
    CLK : in slbit;                     -- clock
106
    RESET : in slbit := '0';            -- reset
107
    CE : in slbit := '1';               -- count enable
108
    DATA : out slv4                     -- data out
109
  );
110
end component;
111
 
112
component gray_cnt_5 is                 -- 5 bit gray code counter (ROM based)
113
  port (
114
    CLK : in slbit;                     -- clock
115
    RESET : in slbit := '0';            -- reset
116
    CE : in slbit := '1';               -- count enable
117
    DATA : out slv5                     -- data out
118
  );
119
end component;
120
 
121
component gray_cnt_n is                 -- n bit gray code counter
122
  generic (
123
    DWIDTH : positive := 8);            -- data width
124
  port (
125
    CLK : in slbit;                     -- clock
126
    RESET : in slbit := '0';            -- reset
127
    CE : in slbit := '1';               -- count enable
128
    DATA : out slv(DWIDTH-1 downto 0)   -- data out
129
  );
130
end component;
131
 
132
component gray2bin_gen is               -- gray->bin converter, generic vector
133
  generic (
134
    DWIDTH : positive := 4);            -- data width
135
  port (
136
    DI : in slv(DWIDTH-1 downto 0);     -- gray code input
137
    DO : out slv(DWIDTH-1 downto 0)     -- binary code output
138
  );
139
end component;
140
 
141
component timer is                      -- retriggerable timer
142
  generic (
143
    TWIDTH : positive := 4;             -- timer counter width
144
    RETRIG : boolean := true);          -- re-triggerable true/false
145
  port (
146
    CLK : in slbit;                     -- clock
147
    CE : in slbit := '1';               -- clock enable
148
    DELAY : in slv(TWIDTH-1 downto 0) := (others=>'1');  -- timer delay
149
    START : in slbit;                   -- start timer
150
    STOP : in slbit := '0';             -- stop timer
151
    DONE : out slbit;                   -- mark last delay cycle
152
    BUSY : out slbit                    -- timer running
153
  );
154
end component;
155
 
156 12 wfjm
end package genlib;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.