OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.6/] [rtl/] [vlib/] [genlib/] [gray_cnt_gen.vhd] - Blame information for rev 24

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 16 wfjm
-- $Id: gray_cnt_gen.vhd 418 2011-10-23 20:11:40Z mueller $
2
--
3
-- Copyright 2007- by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
-- 
14
------------------------------------------------------------------------------
15
-- Module Name:    gray_cnt_gen - syn
16
-- Description:    Generic width Gray code counter
17
--
18
-- Dependencies:   -
19
-- Test bench:     -
20
-- Target Devices: generic
21
-- Tool versions:  xst 8.1, 8.2, 9.1, 9.2; ghdl 0.18-0.25
22
-- Revision History: 
23
-- Date         Rev Version    Comment
24
-- 2007-12-26   106   1.0      Initial version 
25
------------------------------------------------------------------------------
26
 
27
library ieee;
28
use ieee.std_logic_1164.all;
29
 
30
use work.slvtypes.all;
31
use work.genlib.all;
32
 
33
entity gray_cnt_gen is                  -- gray code counter, generic vector
34
  generic (
35
    DWIDTH : positive := 4);            -- data width
36
  port (
37
    CLK : in slbit;                     -- clock
38
    RESET : in slbit := '0';            -- reset
39
    CE : in slbit := '1';               -- count enable
40
    DATA : out slv(DWIDTH-1 downto 0)   -- data out
41
  );
42
end entity gray_cnt_gen;
43
 
44
 
45
architecture syn of gray_cnt_gen is
46
 
47
begin
48
 
49
  assert DWIDTH>=4
50
    report "assert(DWIDTH>=4): only 4 or more bit width supported"
51
    severity failure;
52
 
53
 
54
  GRAY_4: if DWIDTH=4 generate
55
  begin
56
    CNT : gray_cnt_4
57
      port map (
58
        CLK   => CLK,
59
        RESET => RESET,
60
        CE    => CE,
61
        DATA  => DATA
62
      );
63
  end generate GRAY_4;
64
 
65
  GRAY_5: if DWIDTH=5 generate
66
  begin
67
    CNT : gray_cnt_5
68
      port map (
69
        CLK   => CLK,
70
        RESET => RESET,
71
        CE    => CE,
72
        DATA  => DATA
73
      );
74
  end generate GRAY_5;
75
 
76
  GRAY_N: if DWIDTH>5 generate
77
  begin
78
    CNT : gray_cnt_n
79
      generic map (
80
        DWIDTH => DWIDTH)
81
      port map (
82
        CLK   => CLK,
83
        RESET => RESET,
84
        CE    => CE,
85
        DATA  => DATA
86
      );
87
  end generate GRAY_N;
88
 
89
end syn;
90
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.