OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.6/] [rtl/] [vlib/] [rbus/] [rbd_eyemon.vhd] - Blame information for rev 24

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 13 wfjm
-- $Id: rbd_eyemon.vhd 427 2011-11-19 21:04:11Z mueller $
2 10 wfjm
--
3
-- Copyright 2010-2011 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Module Name:    rbd_eyemon - syn
16
-- Description:    rbus dev: eye monitor for serport's
17
--
18
-- Dependencies:   memlib/ram_2swsr_wfirst_gen
19
--
20
-- Test bench:     -
21
--
22
-- Target Devices: generic
23 13 wfjm
-- Tool versions:  xst 12.1, 13.1; ghdl 0.29
24 10 wfjm
--
25
-- Synthesized (xst):
26
-- Date         Rev  ise         Target      flop lutl lutm slic t peri
27
-- 2011-04-02   374 12.1    M53d xc3s1000-4    46  154    -  109 s  8.7
28
-- 2010-12-27   349 12.1    M53d xc3s1000-4    45  147    -  106 s  8.9
29
--
30
-- Revision History: 
31
-- Date         Rev Version  Comment
32 13 wfjm
-- 2011-11-19   427   1.0.3  now numeric_std clean
33 10 wfjm
-- 2011-04-02   375   1.0.2  handle back-to-back chars properly (in sim..)
34
-- 2010-12-31   352   1.0.1  simplify irb_ack logic
35
-- 2010-12-27   349   1.0    Initial version 
36
------------------------------------------------------------------------------
37
--
38
-- rbus registers:
39
--
40
-- Address   Bits Name        r/w/f  Function
41
-- bbbbbb00       cntl        r/w/-  Control register
42
--             03   ena01     r/w/-    track 0->1 rxsd transitions
43
--             02   ena10     r/w/-    track 1->0 rxsd transitions
44
--             01   clr       r/-/f    w: writing a 1 starts memory clear
45
--                                     r: 1 indicates clr in progress (512 cyc)
46
--             00   go        r/w/-    enables monitor
47
-- bbbbbb01  7:00 rdiv        r/w/-  Sample rate divider
48
-- bbbbbb10       addr        r/w/-  Address register
49
--           9:01   laddr     r/w/     line address
50
--             00   waddr     r/w/     word address
51
-- bbbbbb11 15:00 data        r/-/-  Data register
52
--
53
--     data format:
54
--     word 1  counter msb's
55
--     word 0  counter lsb's
56
-- 
57
 
58
library ieee;
59
use ieee.std_logic_1164.all;
60 13 wfjm
use ieee.numeric_std.all;
61 10 wfjm
 
62
use work.slvtypes.all;
63
use work.memlib.all;
64
use work.rblib.all;
65
 
66
entity rbd_eyemon is                    -- rbus dev: eye monitor for serport's
67
  generic (
68 13 wfjm
    RB_ADDR : slv8 := slv(to_unsigned(2#11111000#,8));
69
    RDIV : slv8 := slv(to_unsigned(0,8)));
70 10 wfjm
  port (
71
    CLK  : in slbit;                    -- clock
72
    RESET : in slbit;                   -- reset
73
    RB_MREQ : in rb_mreq_type;          -- rbus: request
74
    RB_SRES : out rb_sres_type;         -- rbus: response
75
    RXSD : in slbit;                    -- rx: serial data
76
    RXACT : in slbit                    -- rx: active (start seen)
77
  );
78
end entity rbd_eyemon;
79
 
80
 
81
architecture syn of rbd_eyemon is
82
 
83
  constant rbaddr_cntl : slv2 := "00";   -- cntl address offset
84
  constant rbaddr_rdiv : slv2 := "01";   -- rdiv address offset
85
  constant rbaddr_addr : slv2 := "10";   -- addr address offset
86
  constant rbaddr_data : slv2 := "11";   -- data address offset
87
 
88
  constant cntl_rbf_ena01    : integer :=     3;
89
  constant cntl_rbf_ena10    : integer :=     2;
90
  constant cntl_rbf_clr      : integer :=     1;
91
  constant cntl_rbf_go       : integer :=     0;
92
  subtype  addr_rbf_laddr   is integer range  9 downto  1;
93
  constant addr_rbf_waddr    : integer :=     0;
94
 
95
  type state_type is (
96
    s_idle,                             -- s_idle: wait for char or clr
97
    s_char,                             -- s_char: processing a char
98
    s_clr                               -- s_clr: clear memory
99
  );
100
 
101
  type regs_type is record              -- state registers
102
    state : state_type;                 -- state
103
    rbsel : slbit;                      -- rbus select
104
    go : slbit;                         -- go flag
105
    clr : slbit;                        -- clear pending
106
    ena10 : slbit;                      -- enable 1->0
107
    ena01 : slbit;                      -- enable 0->1
108
    rdiv : slv8;                        -- rate divider
109
    laddr : slv9;                       -- line address
110
    waddr : slbit;                      -- word address
111
    laddr_1 : slv9;                     -- line address last cycle
112
    rxsd_1 : slbit;                     -- rxsd last cycle
113
    memwe : slbit;                      -- write bram (clr or inc)
114
    memclr : slbit;                     -- write zero into bram
115
    rdivcnt : slv8;                     -- rate divider counter
116
  end record regs_type;
117
 
118
  constant regs_init : regs_type := (
119
    s_idle,                             -- state
120
    '0',                                -- rbsel
121
    '0',                                -- go    (default is off)
122
    '0','0','0',                        -- clr,ena01,ena10
123
    (others=>'0'),                      -- rdiv
124
    (others=>'0'),                      -- laddr
125
    '0',                                -- waddr
126
    (others=>'0'),                      -- laddr_1
127
    '0','0','0',                        -- rxsd_1,memwe,memclr
128
    (others=>'0')                       -- rdivcnt
129
  );
130
 
131
  signal R_REGS : regs_type := regs_init;
132
  signal N_REGS : regs_type := regs_init;
133
 
134
  signal BRAM_ENA : slbit := '0';
135
  signal BRAM_DIA : slv32 := (others=>'0');
136
  signal BRAM_DIB : slv32 := (others=>'0');
137
  signal BRAM_DOA : slv32 := (others=>'0');
138
 
139
begin
140
 
141 12 wfjm
  BRAM_DIA <= (others=>'0');            -- always 0, no writes on this port
142
 
143 10 wfjm
  BRAM : ram_2swsr_wfirst_gen
144
    generic map (
145
      AWIDTH =>  9,
146
      DWIDTH => 32)
147
    port map (
148
      CLKA   => CLK,
149
      CLKB   => CLK,
150
      ENA    => BRAM_ENA,
151
      ENB    => R_REGS.memwe,
152
      WEA    => '0',
153
      WEB    => R_REGS.memwe,
154
      ADDRA  => R_REGS.laddr,
155
      ADDRB  => R_REGS.laddr_1,
156
      DIA    => BRAM_DIA,
157
      DIB    => BRAM_DIB,
158
      DOA    => BRAM_DOA,
159
      DOB    => open
160
    );
161
 
162
  proc_regs: process (CLK)
163
  begin
164 13 wfjm
    if rising_edge(CLK) then
165 10 wfjm
      if RESET = '1' then
166
        R_REGS <= regs_init;
167
      else
168
        R_REGS <= N_REGS;
169
      end if;
170
    end if;
171
  end process proc_regs;
172
 
173
  proc_next : process (R_REGS, RB_MREQ, RXSD, RXACT, BRAM_DOA)
174
    variable r : regs_type := regs_init;
175
    variable n : regs_type := regs_init;
176
    variable irb_ack  : slbit := '0';
177
    variable irb_busy : slbit := '0';
178
    variable irb_err  : slbit := '0';
179
    variable irb_dout  : slv16 := (others=>'0');
180
    variable irbena  : slbit := '0';
181
    variable ibramen : slbit := '0';
182
    variable ibramdi : slv32 := (others=>'0');
183
    variable laddr_we : slbit := '0';
184
    variable laddr_clr : slbit := '0';
185
    variable laddr_inc : slbit := '0';
186
  begin
187
 
188
    r := R_REGS;
189
    n := R_REGS;
190
 
191
    irb_ack  := '0';
192
    irb_busy := '0';
193
    irb_err  := '0';
194
    irb_dout := (others=>'0');
195
 
196
    irbena  := RB_MREQ.re or RB_MREQ.we;
197
 
198
    ibramen := '0';
199
 
200
    laddr_we  := '0';
201
    laddr_clr := '0';
202
    laddr_inc := '0';
203
 
204
    -- rbus address decoder
205
    n.rbsel := '0';
206
    if RB_MREQ.aval='1' and RB_MREQ.addr(7 downto 2)=RB_ADDR(7 downto 2) then
207
      n.rbsel := '1';
208
      ibramen := '1';
209
    end if;
210
 
211
    -- rbus transactions
212
    if r.rbsel = '1' then
213
 
214
      irb_ack := irbena;                  -- ack all accesses
215
 
216
      case RB_MREQ.addr(1 downto 0) is
217
 
218
        when rbaddr_cntl =>
219
          if RB_MREQ.we = '1' then
220
            n.ena01 := RB_MREQ.din(cntl_rbf_ena01);
221
            n.ena10 := RB_MREQ.din(cntl_rbf_ena10);
222
            if RB_MREQ.din(cntl_rbf_clr) = '1' then
223
              n.clr := '1';
224
            end if;
225
            n.go    := RB_MREQ.din(cntl_rbf_go);
226
          end if;
227
 
228
        when rbaddr_rdiv =>
229
          if RB_MREQ.we = '1' then
230
            n.rdiv := RB_MREQ.din(n.rdiv'range);
231
          end if;
232
 
233
        when rbaddr_addr =>
234
          if RB_MREQ.we = '1' then
235
            laddr_we := '1';
236
            n.waddr := RB_MREQ.din(addr_rbf_waddr);
237
          end if;
238
 
239
        when rbaddr_data =>
240
          if RB_MREQ.we='1' then
241
            irb_err := '1';
242
          end if;
243
          if RB_MREQ.re = '1' then
244
            if r.go='0' and r.clr='0' and r.state=s_idle then
245
              n.waddr := not r.waddr;
246
              if r.waddr = '1' then
247
                laddr_inc := '1';
248
              end if;
249
            else
250
              irb_err := '1';
251
            end if;
252
          end if;
253
 
254
        when others => null;
255
      end case;
256
    end if;
257
 
258
    -- rbus output driver
259
    if r.rbsel = '1' then
260
      case RB_MREQ.addr(1 downto 0) is
261
        when rbaddr_cntl =>
262
          irb_dout(cntl_rbf_ena01) := r.ena01;
263
          irb_dout(cntl_rbf_ena10) := r.ena10;
264
          irb_dout(cntl_rbf_clr)   := r.clr;
265
          irb_dout(cntl_rbf_go)    := r.go;
266
        when rbaddr_rdiv =>
267
          irb_dout(r.rdiv'range)   := r.rdiv;
268
        when rbaddr_addr =>
269
          irb_dout(addr_rbf_laddr) := r.laddr;
270
          irb_dout(addr_rbf_waddr) := r.waddr;
271
        when rbaddr_data =>
272
          case r.waddr is
273
            when '1' => irb_dout := BRAM_DOA(31 downto 16);
274
            when '0' => irb_dout := BRAM_DOA(15 downto  0);
275
            when others => null;
276
          end case;
277
        when others => null;
278
      end case;
279
    end if;
280
 
281
    -- eye monitor
282
    n.memwe  := '0';
283
    n.memclr := '0';
284
 
285
    case r.state is
286
      when s_idle =>                    -- s_idle: wait for char or clr ------
287
        if r.clr = '1' then
288
          laddr_clr := '1';
289
          n.state := s_clr;
290
        elsif r.go = '1' and RXSD='0' then
291
          laddr_clr := '1';
292
          n.rdivcnt := r.rdiv;
293
          n.state := s_char;
294
        end if;
295
 
296
      when s_char =>                    -- s_char: processing a char ---------
297
        if RXACT = '0' then               -- uart went unactive
298
          if RXSD = '1' then                -- line idle -> to s_idle
299
            n.state := s_idle;
300
          else                              -- already next start bit seen 
301
            laddr_clr := '1';                 -- clear and restart
302
            n.rdivcnt := r.rdiv;              -- happens only in simulation...
303
          end if;
304
        else
305
          if (r.ena01='1' and r.rxsd_1='0' and RXSD='1') or
306
             (r.ena10='1' and r.rxsd_1='1' and RXSD='0') then
307
            n.memwe := '1';
308
            ibramen := '1';
309
          end if;
310
        end if;
311
        if unsigned(r.rdiv)=0 or unsigned(r.rdivcnt)=0 then
312
          n.rdivcnt := r.rdiv;
313
          if unsigned(r.laddr) /= (2**r.laddr'length)-1 then
314
            laddr_inc := '1';
315
          end if;
316
        else
317 13 wfjm
          n.rdivcnt := slv(unsigned(r.rdivcnt) - 1);
318 10 wfjm
        end if;
319
 
320
      when s_clr =>                     -- s_clr: clear memory ---------------
321
        laddr_inc := '1';
322
        n.memwe  := '1';
323
        n.memclr := '1';
324
        if unsigned(r.laddr) = (2**r.laddr'length)-1 then
325
          n.clr   := '0';
326
          n.state := s_idle;
327
        end if;
328
 
329
      when others => null;
330
    end case;
331
 
332
    if laddr_we = '1' then
333
      n.laddr := RB_MREQ.din(addr_rbf_laddr);
334
    elsif laddr_clr = '1' then
335
      n.laddr := (others=>'0');
336
    elsif laddr_inc = '1' then
337 13 wfjm
      n.laddr := slv(unsigned(r.laddr) + 1);
338 10 wfjm
    end if;
339
 
340
    n.laddr_1 := r.laddr;
341
    n.rxsd_1  := RXSD;
342
 
343
    ibramdi := (others=>'0');
344
    if r.memclr = '0' then
345 13 wfjm
      ibramdi := slv(unsigned(BRAM_DOA) + 1);
346 10 wfjm
    end if;
347
 
348
    N_REGS <= n;
349
 
350
    BRAM_ENA <= ibramen;
351
    BRAM_DIB <= ibramdi;
352
 
353
    RB_SRES.dout <= irb_dout;
354
    RB_SRES.ack  <= irb_ack;
355
    RB_SRES.err  <= irb_err;
356
    RB_SRES.busy <= irb_busy;
357
 
358
  end process proc_next;
359
 
360
end syn;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.