OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.6/] [rtl/] [vlib/] [rlink/] [rlink_core8.vhd] - Blame information for rev 24

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 16 wfjm
-- $Id: rlink_core8.vhd 440 2011-12-18 20:08:09Z mueller $
2
--
3
-- Copyright 2011- by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
-- 
14
------------------------------------------------------------------------------
15
-- Module Name:    rlink_core8 - syn
16
-- Description:    rlink core with 8bit interface (core+b2c/c2b+rlmon+rbmon)
17
--
18
-- Dependencies:   rlink_core
19
--                 comlib/byte2cdata
20
--                 comlib/cdata2byte
21
--                 rlink_mon_sb    [sim only]
22
--                 rbus/rb_mon_sb  [sim only]
23
--
24
-- Test bench:     -
25
--
26
-- Target Devices: generic
27
-- Tool versions:  xst 13.1; ghdl 0.29
28
--
29
-- Synthesized (xst):
30
-- Date         Rev  ise         Target      flop lutl lutm slic t peri
31
-- 2011-12-09   437 13.1    O40d xc3s1000-4   184  403    0  244 s  9.1
32
--
33
-- Revision History: 
34
-- Date         Rev Version  Comment
35
-- 2011-12-09   437   1.0    Initial version
36
------------------------------------------------------------------------------
37
 
38
library ieee;
39
use ieee.std_logic_1164.all;
40
use ieee.numeric_std.all;
41
 
42
use work.slvtypes.all;
43
use work.comlib.all;
44
use work.rblib.all;
45
use work.rlinklib.all;
46
 
47
entity rlink_core8 is                   -- rlink core with 8bit interface
48
  generic (
49
    ATOWIDTH : positive :=  5;          -- access timeout counter width
50
    ITOWIDTH : positive :=  6;          -- idle timeout counter width
51
    CPREF : slv4 := c_rlink_cpref;      -- comma prefix
52
    ENAPIN_RLMON : integer := sbcntl_sbf_rlmon;  -- SB_CNTL for rlmon (-1=none)
53
    ENAPIN_RBMON : integer := sbcntl_sbf_rbmon); -- SB_CNTL for rbmon (-1=none)
54
  port (
55
    CLK  : in slbit;                    -- clock
56
    CE_INT : in slbit := '0';           -- rlink ito time unit clock enable
57
    RESET  : in slbit;                  -- reset
58
    RLB_DI : in slv8;                   -- rlink 8b: data in
59
    RLB_ENA : in slbit;                 -- rlink 8b: data enable
60
    RLB_BUSY : out slbit;               -- rlink 8b: data busy
61
    RLB_DO : out slv8;                  -- rlink 8b: data out
62
    RLB_VAL : out slbit;                -- rlink 8b: data valid
63
    RLB_HOLD : in slbit;                -- rlink 8b: data hold
64
    RL_MONI : out rl_moni_type;         -- rlink: monitor port
65
    RB_MREQ : out rb_mreq_type;         -- rbus: request
66
    RB_SRES : in rb_sres_type;          -- rbus: response
67
    RB_LAM : in slv16;                  -- rbus: look at me
68
    RB_STAT : in slv3                   -- rbus: status flags
69
  );
70
end entity rlink_core8;
71
 
72
 
73
architecture syn of rlink_core8 is
74
 
75
  signal RL_DI   : slv9 := (others=>'0');
76
  signal RL_ENA  : slbit := '0';
77
  signal RL_BUSY : slbit := '0';
78
  signal RL_DO   : slv9 := (others=>'0');
79
  signal RL_VAL  : slbit := '0';
80
  signal RL_HOLD : slbit := '0';
81
  signal RB_MREQ_L : rb_mreq_type := rb_mreq_init;  -- local, readable RB_MREQ
82
 
83
begin
84
 
85
  RL : rlink_core
86
    generic map (
87
      ATOWIDTH => ATOWIDTH,
88
      ITOWIDTH => ITOWIDTH)
89
    port map (
90
      CLK      => CLK,
91
      CE_INT   => CE_INT,
92
      RESET    => RESET,
93
      RL_DI    => RL_DI,
94
      RL_ENA   => RL_ENA,
95
      RL_BUSY  => RL_BUSY,
96
      RL_DO    => RL_DO,
97
      RL_VAL   => RL_VAL,
98
      RL_HOLD  => RL_HOLD,
99
      RL_MONI  => RL_MONI,
100
      RB_MREQ  => RB_MREQ_L,
101
      RB_SRES  => RB_SRES,
102
      RB_LAM   => RB_LAM,
103
      RB_STAT  => RB_STAT
104
    );
105
 
106
  RB_MREQ <= RB_MREQ_L;
107
 
108
-- RLB -> RL converter (DI handling) -------------
109
  B2CD : byte2cdata                     -- byte stream -> 9bit comma,data
110
    generic map (
111
      CPREF => CPREF,
112
      NCOMM => c_rlink_ncomm)
113
    port map (
114
      CLK   => CLK,
115
      RESET => RESET,
116
      DI    => RLB_DI,
117
      ENA   => RLB_ENA,
118
      BUSY  => RLB_BUSY,
119
      DO    => RL_DI,
120
      VAL   => RL_ENA,
121
      HOLD  => RL_BUSY
122
    );
123
 
124
-- RL -> RLB converter (DO handling) -------------
125
  CD2B : cdata2byte                     -- 9bit comma,data -> byte stream
126
    generic map (
127
      CPREF => CPREF,
128
      NCOMM => c_rlink_ncomm)
129
    port map (
130
      CLK   => CLK,
131
      RESET => RESET,
132
      DI    => RL_DO,
133
      ENA   => RL_VAL,
134
      BUSY  => RL_HOLD,
135
      DO    => RLB_DO,
136
      VAL   => RLB_VAL,
137
      HOLD  => RLB_HOLD
138
    );
139
 
140
-- synthesis translate_off
141
 
142
  RLMON: if ENAPIN_RLMON >= 0  generate
143
    MON : rlink_mon_sb
144
      generic map (
145
        DWIDTH => RL_DI'length,
146
        ENAPIN => ENAPIN_RLMON)
147
      port map (
148
        CLK     => CLK,
149
        RL_DI   => RL_DI,
150
        RL_ENA  => RL_ENA,
151
        RL_BUSY => RL_BUSY,
152
        RL_DO   => RL_DO,
153
        RL_VAL  => RL_VAL,
154
        RL_HOLD => RL_HOLD
155
      );
156
  end generate RLMON;
157
 
158
  RBMON: if ENAPIN_RBMON >= 0  generate
159
    MON : rb_mon_sb
160
      generic map (
161
        DBASE  => 8,
162
        ENAPIN => ENAPIN_RBMON)
163
      port map (
164
        CLK     => CLK,
165
        RB_MREQ => RB_MREQ_L,
166
        RB_SRES => RB_SRES,
167
        RB_LAM  => RB_LAM,
168
        RB_STAT => RB_STAT
169
      );
170
  end generate RBMON;
171
 
172
-- synthesis translate_on
173
 
174
end syn;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.