OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.6/] [rtl/] [vlib/] [rlink/] [rlink_mon_sb.vhd] - Blame information for rev 24

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 17 wfjm
-- $Id: rlink_mon_sb.vhd 444 2011-12-25 10:04:58Z mueller $
2 2 wfjm
--
3 17 wfjm
-- Copyright 2007-2011 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4 2 wfjm
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15 9 wfjm
-- Module Name:    rlink_mon_sb - sim
16
-- Description:    simbus wrapper for rlink monitor
17 2 wfjm
--
18
-- Dependencies:   simbus
19 17 wfjm
--                 simlib/simclkcnt
20
--                 rlink_mon
21 2 wfjm
-- Test bench:     -
22 13 wfjm
-- Tool versions:  xst 8.2, 9.1, 9.2, 12.1, 13.1; ghdl 0.18-0.29
23 9 wfjm
--
24 2 wfjm
-- Revision History: 
25
-- Date         Rev Version  Comment
26 17 wfjm
-- 2011-12-23   444   3.1    use simclkcnt instead of simbus global
27 9 wfjm
-- 2010-12-24   347   3.0.1  rename: CP_*->RL->*
28
-- 2010-12-22   346   3.0    renamed rritb_cpmon_sb -> rlink_mon_sb
29 2 wfjm
-- 2010-05-02   287   1.0.1  use sbcntl_sbf_cpmon def
30
-- 2007-08-25    75   1.0    Initial version 
31
------------------------------------------------------------------------------
32
 
33
library ieee;
34
use ieee.std_logic_1164.all;
35
 
36
use work.slvtypes.all;
37
use work.simlib.all;
38
use work.simbus.all;
39 9 wfjm
use work.rlinklib.all;
40 2 wfjm
 
41 9 wfjm
entity rlink_mon_sb is                  -- simbus wrap for rlink monitor
42 2 wfjm
  generic (
43
    DWIDTH : positive :=  9;            -- data port width (8 or 9)
44 9 wfjm
    ENAPIN : integer := sbcntl_sbf_rlmon); -- SB_CNTL signal to use for enable
45 2 wfjm
  port (
46
    CLK  : in slbit;                    -- clock
47 9 wfjm
    RL_DI : in slv(DWIDTH-1 downto 0);  -- rlink: data in
48
    RL_ENA : in slbit;                  -- rlink: data enable
49
    RL_BUSY : in slbit;                 -- rlink: data busy
50
    RL_DO : in slv(DWIDTH-1 downto 0);  -- rlink: data out
51
    RL_VAL : in slbit;                  -- rlink: data valid
52
    RL_HOLD : in slbit                  -- rlink: data hold
53 2 wfjm
  );
54 9 wfjm
end rlink_mon_sb;
55 2 wfjm
 
56
 
57 9 wfjm
architecture sim of rlink_mon_sb is
58 2 wfjm
 
59
  signal ENA : slbit := '0';
60 17 wfjm
  signal CLK_CYCLE : integer := 0;
61
 
62 2 wfjm
begin
63
 
64
  assert ENAPIN>=SB_CNTL'low and ENAPIN<=SB_CNTL'high
65
    report "assert(ENAPIN in SB_CNTL'range)" severity failure;
66
 
67 17 wfjm
  CLKCNT : simclkcnt port map (CLK => CLK, CLK_CYCLE => CLK_CYCLE);
68
 
69 2 wfjm
  ENA <= to_x01(SB_CNTL(ENAPIN));
70
 
71 9 wfjm
  CPMON : rlink_mon
72 2 wfjm
    generic map (
73
      DWIDTH => DWIDTH)
74
    port map (
75
      CLK       => CLK,
76 17 wfjm
      CLK_CYCLE => CLK_CYCLE,
77 2 wfjm
      ENA       => ENA,
78 9 wfjm
      RL_DI     => RL_DI,
79
      RL_ENA    => RL_ENA,
80
      RL_BUSY   => RL_BUSY,
81
      RL_DO     => RL_DO,
82
      RL_VAL    => RL_VAL,
83
      RL_HOLD   => RL_HOLD
84 2 wfjm
    );
85
 
86
end sim;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.