OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.6/] [rtl/] [vlib/] [rlink/] [rlink_mon_sb.vhd] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 wfjm
-- $Id: rritb_cpmon_sb.vhd 314 2010-07-09 17:38:41Z mueller $
2
--
3
-- Copyright 2007-2010 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15
-- Module Name:    rritb_cpmon_sb - sim
16
-- Description:    rritb: rri comm port monitor; simbus wrapper
17
--
18
-- Dependencies:   simbus
19
-- Test bench:     -
20
-- Tool versions:  xst 8.1, 8.2, 9.1, 9.2; ghdl 0.18-0.25
21
-- Revision History: 
22
-- Date         Rev Version  Comment
23
-- 2010-05-02   287   1.0.1  use sbcntl_sbf_cpmon def
24
-- 2007-08-25    75   1.0    Initial version 
25
------------------------------------------------------------------------------
26
 
27
library ieee;
28
use ieee.std_logic_1164.all;
29
 
30
use work.slvtypes.all;
31
use work.simlib.all;
32
use work.simbus.all;
33
use work.rritblib.all;
34
 
35
entity rritb_cpmon_sb is                -- simbus wrap rri comm port monitor
36
  generic (
37
    DWIDTH : positive :=  9;            -- data port width (8 or 9)
38
    ENAPIN : integer := sbcntl_sbf_cpmon); -- SB_CNTL signal to use for enable
39
  port (
40
    CLK  : in slbit;                    -- clock
41
    CP_DI : in slv(DWIDTH-1 downto 0);  -- comm port: data in
42
    CP_ENA : in slbit;                  -- comm port: data enable
43
    CP_BUSY : in slbit;                 -- comm port: data busy
44
    CP_DO : in slv(DWIDTH-1 downto 0);  -- comm port: data out
45
    CP_VAL : in slbit;                  -- comm port: data valid
46
    CP_HOLD : in slbit                  -- comm port: data hold
47
  );
48
end rritb_cpmon_sb;
49
 
50
 
51
architecture sim of rritb_cpmon_sb is
52
 
53
  signal ENA : slbit := '0';
54
 
55
begin
56
 
57
  assert ENAPIN>=SB_CNTL'low and ENAPIN<=SB_CNTL'high
58
    report "assert(ENAPIN in SB_CNTL'range)" severity failure;
59
 
60
  ENA <= to_x01(SB_CNTL(ENAPIN));
61
 
62
  CPMON : rritb_cpmon
63
    generic map (
64
      DWIDTH => DWIDTH)
65
    port map (
66
      CLK       => CLK,
67
      CLK_CYCLE => SB_CLKCYCLE,
68
      ENA       => ENA,
69
      CP_DI     => CP_DI,
70
      CP_ENA    => CP_ENA,
71
      CP_BUSY   => CP_BUSY,
72
      CP_DO     => CP_DO,
73
      CP_VAL    => CP_VAL,
74
      CP_HOLD   => CP_HOLD
75
    );
76
 
77
end sim;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.