OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.6/] [rtl/] [vlib/] [rlink/] [tb/] [rlinktblib.vhd] - Blame information for rev 24

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 17 wfjm
-- $Id: rlinktblib.vhd 444 2011-12-25 10:04:58Z mueller $
2 2 wfjm
--
3 17 wfjm
-- Copyright 2007-2011 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4 2 wfjm
--
5
-- This program is free software; you may redistribute and/or modify it under
6
-- the terms of the GNU General Public License as published by the Free
7
-- Software Foundation, either version 2, or at your option any later version.
8
--
9
-- This program is distributed in the hope that it will be useful, but
10
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
11
-- or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
12
-- for complete details.
13
--
14
------------------------------------------------------------------------------
15 9 wfjm
-- Package Name:   rlinktblib
16
-- Description:    rlink test environment components
17 2 wfjm
--
18
-- Dependencies:   -
19 17 wfjm
-- Tool versions:  xst 8.2, 9.1, 9.2, 11.4, 12.1, 13.1; ghdl 0.18-0.29
20 2 wfjm
-- Revision History: 
21
-- Date         Rev Version  Comment
22 17 wfjm
-- 2011-12-23   444   3.1    new clock iface for tbcore_rlink; drop .._dcm
23 9 wfjm
-- 2010-12-29   351   3.0.1  add rbtba_aif;
24
-- 2010-12-24   347   3.0    rename rritblib->rlinktblib, CP_*->RL_*;
25
--                           many rri->rlink renames; drop rbus parts;
26 8 wfjm
-- 2010-11-13   338   2.5.2  add rritb_core_dcm
27 2 wfjm
-- 2010-06-26   309   2.5.1  add rritb_sres_or_mon
28
-- 2010-06-06   302   2.5    use sop/eop framing instead of soc+chaining
29
-- 2010-06-05   301   2.1.2  renamed _rpmon -> _rbmon
30
-- 2010-05-02   287   2.1.1  rename CE_XSEC->CE_INT,RP_STAT->RB_STAT
31
--                           drop RP_IINT signal from interfaces
32
--                           add sbcntl_sbf_(cp|rp)mon defs
33
-- 2010-04-24   282   2.1    add rritb_core
34
-- 2008-08-24   162   2.0    all with new rb_mreq/rb_sres interface
35
-- 2008-03-24   129   1.1.5  CLK_CYCLE now 31 bits
36
-- 2007-12-23   105   1.1.4  add AP_LAM  for rritb_rpmon(_sb)
37
-- 2007-11-24    98   1.1.3  add RP_IINT for rritb_rpmon(_sb)
38
-- 2007-09-01    78   1.1.2  add rricp_rp
39
-- 2007-08-25    75   1.1.1  add rritb_cpmon_sb, rritb_rpmon_sb
40
-- 2007-08-16    74   1.1    remove rritb_tt* component; some interface changes
41
-- 2007-08-03    71   1.0.2  use rrirp_acif; change generics for rritb_[cr]pmon
42
-- 2007-07-22    68   1.0.1  add rritb_cpmon rritb_rpmon monitors
43
-- 2007-07-15    66   1.0    Initial version
44
------------------------------------------------------------------------------
45
 
46
library ieee;
47
use ieee.std_logic_1164.all;
48
 
49
use work.slvtypes.all;
50 9 wfjm
use work.rlinklib.all;
51 2 wfjm
 
52 9 wfjm
package rlinktblib is
53 2 wfjm
 
54 9 wfjm
type rlink_tba_cntl_type is record      -- rlink_tba control
55
  cmd : slv3;                           -- command code
56
  ena : slbit;                          -- command enable
57
  addr : slv8;                          -- address
58
  cnt : slv8;                           -- block size
59
  eop : slbit;                          -- end packet after current command
60
end record rlink_tba_cntl_type;
61 2 wfjm
 
62 9 wfjm
constant rlink_tba_cntl_init : rlink_tba_cntl_type := (
63
           (others=>'0'),               -- cmd
64
           '0',                         -- ena
65
           (others=>'0'),               -- addr
66
           (others=>'0'),               -- cnt
67
           '0');                        -- eop
68
 
69
type rlink_tba_stat_type is record      -- rlink_tba status
70
  busy : slbit;                         -- command busy
71
  ack : slbit;                          -- command acknowledge
72
  err : slbit;                          -- command error flag
73
  stat : slv8;                          -- status flags
74
  braddr : slv8;                        -- block read address  (for wblk)
75
  bre : slbit;                          -- block read enable   (for wblk)
76
  bwaddr : slv8;                        -- block write address (for rblk)
77
  bwe : slbit;                          -- block write enable  (for rblk)
78
  attnpend : slbit;                     -- attn pending
79
  attnint : slbit;                      -- attn interrupt
80
end record rlink_tba_stat_type;
81 2 wfjm
 
82 9 wfjm
constant rlink_tba_stat_init : rlink_tba_stat_type := (
83
           '0','0','0',                 -- busy, ack, err
84
           (others=>'0'),               -- stat
85
           (others=>'0'),               -- braddr
86
           '0',                         -- bre
87
           (others=>'0'),               -- bwaddr
88
           '0',                         -- bwe
89
           '0','0');                    -- attnpend, attnint
90 2 wfjm
 
91 9 wfjm
component rlink_tba is                  -- rlink test bench adapter
92 2 wfjm
  port (
93
    CLK  : in slbit;                    -- clock
94 9 wfjm
    RESET  : in slbit;                  -- reset
95
    CNTL : in rlink_tba_cntl_type;      -- control port
96
    DI : in slv16;                      -- input data
97
    STAT : out rlink_tba_stat_type;     -- status port
98
    DO : out slv16;                     -- output data
99
    RL_DI : out slv9;                   -- rlink: data in
100
    RL_ENA : out slbit;                 -- rlink: data enable
101
    RL_BUSY : in slbit;                 -- rlink: data busy
102
    RL_DO : in slv9;                    -- rlink: data out
103
    RL_VAL : in slbit;                  -- rlink: data valid
104
    RL_HOLD : out slbit                 -- rlink: data hold
105 2 wfjm
  );
106
end component;
107
 
108 9 wfjm
component rbtba_aif is                  -- rbus tba, abstract interface
109
                                        -- no generics, no records
110 2 wfjm
  port (
111
    CLK  : in slbit;                    -- clock
112 9 wfjm
    RESET  : in slbit := '0';           -- reset
113
    RB_MREQ_aval : in slbit;            -- rbus: request - aval
114
    RB_MREQ_re : in slbit;              -- rbus: request - re
115
    RB_MREQ_we : in slbit;              -- rbus: request - we
116
    RB_MREQ_initt : in slbit;           -- rbus: request - init; avoid name coll
117
    RB_MREQ_addr : in slv8;             -- rbus: request - addr
118
    RB_MREQ_din : in slv16;             -- rbus: request - din
119
    RB_SRES_ack : out slbit;            -- rbus: response - ack
120
    RB_SRES_busy : out slbit;           -- rbus: response - busy
121
    RB_SRES_err : out slbit;            -- rbus: response - err
122
    RB_SRES_dout : out slv16;           -- rbus: response - dout
123
    RB_LAM : out slv16;                 -- rbus: look at me
124
    RB_STAT : out slv3                  -- rbus: status flags
125 2 wfjm
  );
126
end component;
127
 
128 9 wfjm
component tbcore_rlink is               -- core of vhpi_cext based test bench
129 2 wfjm
  port (
130 17 wfjm
    CLK : in slbit;                     -- control interface clock
131
    CLK_STOP : out slbit;               -- clock stop trigger
132 2 wfjm
    RX_DATA : out slv8;                 -- read data         (data ext->tb)
133
    RX_VAL : out slbit;                 -- read data valid   (data ext->tb)
134
    RX_HOLD : in slbit;                 -- read data hold    (data ext->tb)
135
    TX_DATA : in slv8;                  -- write data        (data tb->ext)
136
    TX_ENA : in slbit                   -- write data enable (data tb->ext)
137
  );
138
end component;
139
 
140 9 wfjm
-- FIXME after this point !!
141
 
142 2 wfjm
component rricp_rp is                   -- rri comm->reg port aif forwarder
143
                                        -- implements rricp_aif, uses rrirp_aif
144
  port (
145
    CLK  : in slbit;                    -- clock
146
    CE_INT : in slbit := '0';           -- rri ito time unit clock enable
147
    RESET  : in slbit :='0';            -- reset
148 9 wfjm
    RL_DI : in slv9;                    -- rlink: data in
149
    RL_ENA : in slbit;                  -- rlink: data enable
150
    RL_BUSY : out slbit;                -- rlink: data busy
151
    RL_DO : out slv9;                   -- rlink: data out
152
    RL_VAL : out slbit;                 -- rlink: data valid
153
    RL_HOLD : in slbit := '0'           -- rlink: data hold
154 2 wfjm
  );
155
end component;
156
 
157 12 wfjm
end package rlinktblib;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.